Index of /alpine/edge/testing/ppc64le

[ICO]NameLast modifiedSizeDescription

[PARENTDIR]Parent Directory  -  
[   ]zycore-doc-1.5.0-r1.apk2025-07-11 04:51 432K 
[   ]zycore-dev-1.5.0-r1.apk2025-07-11 04:51 37K 
[   ]zycore-1.5.0-r1.apk2025-07-11 04:51 22K 
[   ]zvbi-doc-0.2.44-r0.apk2025-03-11 21:05 21K 
[   ]zvbi-0.2.44-r0.apk2025-03-11 21:05 179K 
[   ]zutty-doc-0.16-r0.apk2025-01-12 21:01 66K 
[   ]zutty-0.16-r0.apk2025-01-12 21:01 157K 
[   ]zsh-manydots-magic-0_git20230607-r1.apk2024-10-25 20:58 2.6K 
[   ]zsh-histdb-skim-0.8.6-r0.apk2024-10-25 20:58 787K 
[   ]zsh-fzf-tab-0_git20220331-r1.apk2024-10-25 20:58 16K 
[   ]zrepl-zsh-completion-0.6.1-r15.apk2025-10-08 22:27 1.7K 
[   ]zrepl-openrc-0.6.1-r15.apk2025-10-08 22:27 1.5K 
[   ]zrepl-bash-completion-0.6.1-r15.apk2025-10-08 22:27 4.2K 
[   ]zrepl-0.6.1-r15.apk2025-10-08 22:27 6.6M 
[   ]zpaq-doc-7.15-r0.apk2025-10-09 03:51 16K 
[   ]zpaq-7.15-r0.apk2025-10-09 03:51 193K 
[   ]zot-openrc-2.1.8-r1.apk2025-10-08 22:27 1.7K 
[   ]zot-exporter-2.1.8-r1.apk2025-10-08 22:27 3.8M 
[   ]zot-doc-2.1.8-r1.apk2025-10-08 22:27 9.1K 
[   ]zot-cli-zsh-completion-2.1.8-r1.apk2025-10-08 22:27 3.8K 
[   ]zot-cli-fish-completion-2.1.8-r1.apk2025-10-08 22:27 4.1K 
[   ]zot-cli-bash-completion-2.1.8-r1.apk2025-10-08 22:27 5.8K 
[   ]zot-cli-2.1.8-r1.apk2025-10-08 22:27 9.9M 
[   ]zot-2.1.8-r1.apk2025-10-08 22:27 72M 
[   ]zizmor-doc-1.14.1-r0.apk2025-10-03 08:45 2.0K 
[   ]zizmor-1.14.1-r0.apk2025-10-03 08:45 3.9M 
[   ]zita-resampler-doc-1.11.2-r0.apk2025-04-14 21:17 3.8K 
[   ]zita-resampler-dev-1.11.2-r0.apk2025-04-14 21:17 3.0K 
[   ]zita-resampler-1.11.2-r0.apk2025-04-14 21:17 27K 
[   ]zita-njbridge-doc-0.4.8-r1.apk2024-10-25 20:58 5.0K 
[   ]zita-njbridge-0.4.8-r1.apk2024-10-25 20:58 31K 
[   ]zile-doc-2.6.2-r1.apk2024-10-25 20:58 16K 
[   ]zile-2.6.2-r1.apk2024-10-25 20:58 125K 
[   ]zfsbootmenu-doc-2.3.0-r1.apk2024-10-25 20:58 16K 
[   ]zfsbootmenu-2.3.0-r1.apk2024-10-25 20:58 128K 
[   ]zfs-src-2.3.4-r0.apk2025-08-28 13:07 33M 
[   ]zarchive-libs-0.1.2-r2.apk2024-10-25 20:58 26K 
[   ]zarchive-dev-0.1.2-r2.apk2024-10-25 20:58 6.5K 
[   ]zarchive-0.1.2-r2.apk2024-10-25 20:58 17K 
[   ]zapret-openrc-0.0.0_git20220125-r1.apk2024-10-25 20:58 1.8K 
[   ]zapret-doc-0.0.0_git20220125-r1.apk2024-10-25 20:58 98K 
[   ]zapret-0.0.0_git20220125-r1.apk2024-10-25 20:58 90K 
[   ]zafiro-icon-theme-1.3-r0.apk2024-10-25 20:58 19M 
[   ]z-doc-1.12-r0.apk2024-10-25 20:58 3.7K 
[   ]z-1.12-r0.apk2024-10-25 20:58 4.3K 
[   ]yubikey-agent-0.1.6-r16.apk2025-10-08 22:27 1.8M 
[   ]ytt-0.52.1-r1.apk2025-10-08 22:27 4.4M 
[   ]ytmdl-zsh-completion-2024.08.15.1-r1.apk2025-05-14 18:20 1.9K 
[   ]ytmdl-pyc-2024.08.15.1-r1.apk2025-05-14 18:20 78K 
[   ]ytmdl-bash-completion-2024.08.15.1-r1.apk2025-05-14 18:20 2.0K 
[   ]ytmdl-2024.08.15.1-r1.apk2025-05-14 18:20 50K 
[   ]youtube-viewer-gtk-3.11.6-r0.apk2025-06-09 05:05 171K 
[   ]youtube-viewer-doc-3.11.6-r0.apk2025-06-09 05:05 40K 
[   ]youtube-viewer-3.11.6-r0.apk2025-06-09 05:05 84K 
[   ]yosys-dev-0.42-r1.apk2025-06-12 14:19 117K 
[   ]yosys-0.42-r1.apk2025-06-12 14:19 21M 
[   ]yoshimi-doc-2.3.3.3-r0.apk2025-03-13 22:33 4.5M 
[   ]yoshimi-2.3.3.3-r0.apk2025-03-13 22:33 6.1M 
[   ]yices2-libs-2.6.5-r0.apk2025-03-02 23:06 1.0M 
[   ]yices2-dev-2.6.5-r0.apk2025-03-02 23:06 41K 
[   ]yices2-2.6.5-r0.apk2025-03-02 23:06 2.7M 
[   ]ydcv-zsh-completion-0.7-r8.apk2024-10-25 20:58 1.9K 
[   ]ydcv-pyc-0.7-r8.apk2024-10-25 20:58 10K 
[   ]ydcv-0.7-r8.apk2024-10-25 20:58 20K 
[   ]yass-2.5.0-r0.apk2024-10-25 20:58 14M 
[   ]yaru-theme-viridian-25.10.1-r0.apk2025-07-13 19:49 760K 
[   ]yaru-theme-sage-25.10.1-r0.apk2025-07-13 19:49 763K 
[   ]yaru-theme-red-25.10.1-r0.apk2025-07-13 19:49 760K 
[   ]yaru-theme-purple-25.10.1-r0.apk2025-07-13 19:49 757K 
[   ]yaru-theme-prussiangreen-25.10.1-r0.apk2025-07-13 19:49 759K 
[   ]yaru-theme-olive-25.10.1-r0.apk2025-07-13 19:49 759K 
[   ]yaru-theme-mate-25.10.1-r0.apk2025-07-13 19:49 772K 
[   ]yaru-theme-magenta-25.10.1-r0.apk2025-07-13 19:49 762K 
[   ]yaru-theme-hdpi-25.10.1-r0.apk2025-07-13 19:49 73K 
[   ]yaru-theme-blue-25.10.1-r0.apk2025-07-13 19:49 766K 
[   ]yaru-theme-bark-25.10.1-r0.apk2025-07-13 19:49 763K 
[   ]yaru-theme-25.10.1-r0.apk2025-07-13 19:49 840K 
[   ]yaru-sounds-25.10.1-r0.apk2025-07-13 19:49 1.2M 
[   ]yaru-shell-25.10.1-r0.apk2025-07-13 19:49 227K 
[   ]yaru-schemas-25.10.1-r0.apk2025-07-13 19:49 1.6K 
[   ]yaru-icon-theme-viridian-25.10.1-r0.apk2025-07-13 19:49 1.2M 
[   ]yaru-icon-theme-sage-25.10.1-r0.apk2025-07-13 19:49 1.2M 
[   ]yaru-icon-theme-red-25.10.1-r0.apk2025-07-13 19:49 1.2M 
[   ]yaru-icon-theme-purple-25.10.1-r0.apk2025-07-13 19:49 1.2M 
[   ]yaru-icon-theme-prussiangreen-25.10.1-r0.apk2025-07-13 19:49 1.2M 
[   ]yaru-icon-theme-olive-25.10.1-r0.apk2025-07-13 19:49 1.1M 
[   ]yaru-icon-theme-mate-25.10.1-r0.apk2025-07-13 19:49 1.3M 
[   ]yaru-icon-theme-magenta-25.10.1-r0.apk2025-07-13 19:49 1.1M 
[   ]yaru-icon-theme-blue-25.10.1-r0.apk2025-07-13 19:49 1.2M 
[   ]yaru-icon-theme-bark-25.10.1-r0.apk2025-07-13 19:49 1.1M 
[   ]yaru-icon-theme-25.10.1-r0.apk2025-07-13 19:49 35M 
[   ]yaru-common-25.10.1-r0.apk2025-07-13 19:49 3.8M 
[   ]yarn-berry-4.9.1-r0.apk2025-04-15 21:59 1.0M 
[   ]yamldiff-doc-0.3.0-r2.apk2025-10-08 22:27 2.0K 
[   ]yamldiff-0.3.0-r2.apk2025-10-08 22:27 1.6M 
[   ]yaml-language-server-doc-1.19.2-r0.apk2025-10-08 22:27 2.0K 
[   ]yaml-language-server-1.19.2-r0.apk2025-10-08 22:27 666K 
[   ]yamkix-pyc-0.10.0-r1.apk2024-10-25 20:58 12K 
[   ]yamkix-0.10.0-r1.apk2024-10-25 20:58 14K 
[   ]yaegi-0.16.1-r12.apk2025-10-08 22:27 7.0M 
[   ]xwayland-satellite-0.7-r0.apk2025-08-30 22:13 1.0M 
[   ]xvkbd-doc-4.1-r2.apk2024-10-25 20:58 11K 
[   ]xvkbd-4.1-r2.apk2024-10-25 20:58 301K 
[   ]xvile-9.8z_p1-r2.apk2025-10-06 11:33 817K 
[   ]xvidtune-doc-1.0.4-r0.apk2024-10-25 20:58 3.9K 
[   ]xvidtune-1.0.4-r0.apk2024-10-25 20:58 17K 
[   ]xva-img-1.5-r0.apk2024-10-25 20:58 18K 
[   ]xtl-0.8.0-r0.apk2025-07-28 22:13 89K 
[   ]xtensor-0.27.0-r0.apk2025-08-25 06:41 269K 
[   ]xsoldier-doc-1.8-r2.apk2024-10-25 20:58 2.4K 
[   ]xsoldier-1.8-r2.apk2024-10-25 20:58 69K 
[   ]xsecurelock-doc-1.9.0-r1.apk2024-10-25 20:58 17K 
[   ]xsecurelock-1.9.0-r1.apk2024-10-25 20:58 75K 
[   ]xsane-lang-0.999-r2.apk2024-10-25 20:58 440K 
[   ]xsane-doc-0.999-r2.apk2024-10-25 20:58 4.0K 
[   ]xsane-0.999-r2.apk2024-10-25 20:58 1.5M 
[   ]xpar-doc-0.7-r0.apk2025-10-03 08:45 4.1K 
[   ]xpar-0.7-r0.apk2025-10-03 08:45 27K 
[   ]xosview-doc-1.24-r0.apk2024-10-25 20:58 12K 
[   ]xosview-1.24-r0.apk2024-10-25 20:58 127K 
[   ]xonsh-pyc-0.19.1-r1.apk2025-09-06 17:19 1.0M 
[   ]xonsh-0.19.1-r1.apk2025-09-06 17:19 585K 
[   ]xone-src-0.4.8-r0.apk2025-10-07 17:57 57K 
[   ]xmppipe-0.16.0-r1.apk2024-10-25 20:58 17K 
[   ]xmpp-dns-0.2.4-r28.apk2025-10-08 22:27 1.8M 
[   ]xmp-doc-4.2.0-r0.apk2024-10-25 20:58 5.1K 
[   ]xmp-4.2.0-r0.apk2024-10-25 20:58 23K 
[   ]xmoto-lang-0.6.3-r0.apk2025-10-03 17:03 532K 
[   ]xmoto-doc-0.6.3-r0.apk2025-10-03 17:03 5.6K 
[   ]xmoto-data-0.6.3-r0.apk2025-10-03 17:03 37M 
[   ]xmoto-0.6.3-r0.apk2025-10-03 17:03 1.9M 
[   ]xml2rfc-pyc-3.28.1-r2.apk2025-09-09 08:47 407K 
[   ]xml2rfc-3.28.1-r2.apk2025-09-09 08:47 352K 
[   ]xmag-doc-1.0.8-r0.apk2024-10-25 20:58 4.5K 
[   ]xmag-1.0.8-r0.apk2024-10-25 20:58 17K 
[   ]xload-doc-1.1.4-r0.apk2024-10-25 20:58 3.2K 
[   ]xload-1.1.4-r0.apk2024-10-25 20:58 7.3K 
[   ]xlhtml-doc-0.5.1-r0.apk2024-10-25 20:58 2.2K 
[   ]xlhtml-0.5.1-r0.apk2024-10-25 20:58 12K 
[   ]xkb-switch-doc-1.8.5-r1.apk2025-05-14 18:20 1.9K 
[   ]xkb-switch-1.8.5-r1.apk2025-05-14 18:20 21K 
[   ]xisxwayland-doc-2-r1.apk2024-10-25 20:58 1.7K 
[   ]xisxwayland-2-r1.apk2024-10-25 20:58 4.1K 
[   ]xiccd-doc-0.3.0_git20211219-r1.apk2024-10-25 20:58 3.3K 
[   ]xiccd-0.3.0_git20211219-r1.apk2024-10-25 20:58 17K 
[   ]xgalaga-doc-2.1.1.0-r1.apk2024-10-25 20:58 2.3K 
[   ]xgalaga-2.1.1.0-r1.apk2024-10-25 20:58 312K 
[   ]xfsdump-doc-3.2.0-r0.apk2025-08-30 20:48 42K 
[   ]xfsdump-3.2.0-r0.apk2025-08-30 20:48 418K 
[   ]xfd-doc-1.1.4-r0.apk2024-10-25 20:58 4.7K 
[   ]xfd-1.1.4-r0.apk2024-10-25 20:58 14K 
[   ]xfce4-panel-profiles-lang-1.1.1-r0.apk2025-07-06 09:20 53K 
[   ]xfce4-panel-profiles-doc-1.1.1-r0.apk2025-07-06 09:20 20K 
[   ]xfce4-panel-profiles-1.1.1-r0.apk2025-07-06 09:20 57K 
[   ]xfce4-mixer-lang-4.18.1-r2.apk2024-10-25 20:58 59K 
[   ]xfce4-mixer-doc-4.18.1-r2.apk2024-10-25 20:58 2.2K 
[   ]xfce4-mixer-4.18.1-r2.apk2024-10-25 20:58 89K 
[   ]xfce4-hamster-plugin-lang-1.17-r0.apk2024-10-25 20:58 5.1K 
[   ]xfce4-hamster-plugin-1.17-r0.apk2024-10-25 20:58 38K 
[   ]xendmail-doc-0.4.4-r0.apk2025-08-06 08:57 2.3K 
[   ]xendmail-0.4.4-r0.apk2025-08-06 08:57 960K 
[   ]xemu-0.8.74-r0.apk2025-06-19 00:22 4.4M 
[   ]xed-python-3.8.3-r0.apk2025-07-31 20:38 24K 
[   ]xed-lang-3.8.3-r0.apk2025-07-31 20:38 2.1M 
[   ]xed-doc-3.8.3-r0.apk2025-07-31 20:37 971K 
[   ]xed-dev-3.8.3-r0.apk2025-07-31 20:37 13K 
[   ]xed-3.8.3-r0.apk2025-07-31 20:37 1.1M 
[   ]xdg-ninja-0.2.0.2-r0.apk2024-10-25 20:58 70K 
[   ]xdg-desktop-portal-hyprland-doc-1.3.10-r0.apk2025-08-25 17:43 2.2K 
[   ]xdg-desktop-portal-hyprland-1.3.10-r0.apk2025-08-25 17:43 355K 
[   ]xdg-desktop-portal-cosmic-1.0.0_beta1_p1-r0.apk2025-10-03 08:45 11M 
[   ]xcompmgr-doc-1.1.10-r0.apk2025-06-28 11:26 2.3K 
[   ]xcompmgr-1.1.10-r0.apk2025-06-28 11:26 15K 
[   ]xcape-doc-1.2-r1.apk2025-05-14 18:20 2.8K 
[   ]xcape-1.2-r1.apk2025-05-14 18:20 7.0K 
[   ]xa-doc-2.4.1-r0.apk2025-02-25 12:37 17K 
[   ]xa-2.4.1-r0.apk2025-02-25 12:37 90K 
[   ]x11docker-doc-7.6.0-r1.apk2024-10-25 20:58 9.1K 
[   ]x11docker-7.6.0-r1.apk2024-10-25 20:58 113K 
[   ]wtfutil-0.43.0-r17.apk2025-10-08 22:27 18M 
[   ]wsmancli-doc-2.8.0-r0.apk2025-07-14 09:41 3.4K 
[   ]wsmancli-2.8.0-r0.apk2025-07-14 09:41 20K 
[   ]wshowkeys-1.0-r0.apk2024-10-25 20:58 15K 
[   ]wroomd-openrc-0.1.0-r0.apk2024-10-25 20:58 1.4K 
[   ]wroomd-0.1.0-r0.apk2024-10-25 20:58 1.0M 
[   ]wput-doc-0.6.2-r4.apk2024-10-25 20:58 8.2K 
[   ]wput-0.6.2-r4.apk2024-10-25 20:58 41K 
[   ]wpa_actiond-openrc-1.4-r7.apk2024-10-25 20:58 2.0K 
[   ]wpa_actiond-1.4-r7.apk2024-10-25 20:58 10K 
[   ]wolfssh-dev-1.4.17-r1.apk2025-10-03 08:45 173K 
[   ]wolfssh-1.4.17-r1.apk2025-10-03 08:45 138K 
[   ]wol-lang-0.7.1-r3.apk2024-10-25 20:58 7.9K 
[   ]wol-doc-0.7.1-r3.apk2024-10-25 20:58 5.3K 
[   ]wol-0.7.1-r3.apk2024-10-25 20:58 26K 
[   ]wok-pyc-3.0.0-r6.apk2024-10-25 20:58 118K 
[   ]wok-lang-3.0.0-r6.apk2024-10-25 20:58 16K 
[   ]wok-doc-3.0.0-r6.apk2024-10-25 20:58 3.4K 
[   ]wok-3.0.0-r6.apk2024-10-25 20:58 156K 
[   ]wmi-client-1.3.16-r5.apk2024-10-25 20:58 2.5M 
[   ]wmctrl-doc-1.07-r1.apk2024-10-25 20:58 5.1K 
[   ]wmctrl-1.07-r1.apk2024-10-25 20:58 14K 
[   ]wlroots0.18-static-0.18.2-r3.apk2025-10-03 08:45 8.1M 
[   ]wlroots0.18-dev-0.18.2-r3.apk2025-10-03 08:45 82K 
[   ]wlroots0.18-dbg-0.18.2-r3.apk2025-10-03 08:45 1.5M 
[   ]wlroots0.18-0.18.2-r3.apk2025-10-03 08:45 398K 
[   ]wlroots0.17-dev-0.17.4-r3.apk2025-08-12 22:42 77K 
[   ]wlroots0.17-dbg-0.17.4-r3.apk2025-08-12 22:42 1.4M 
[   ]wlroots0.17-0.17.4-r3.apk2025-08-12 22:42 385K 
[   ]wlclock-doc-1.0.1-r0.apk2024-10-25 20:58 3.3K 
[   ]wlclock-1.0.1-r0.apk2024-10-25 20:58 17K 
[   ]wlavu-0_git20201101-r1.apk2024-10-25 20:58 13K 
[   ]wl-screenrec-zsh-completion-0.1.7-r1.apk2025-08-27 17:13 3.4K 
[   ]wl-screenrec-fish-completion-0.1.7-r1.apk2025-08-27 17:13 3.0K 
[   ]wl-screenrec-doc-0.1.7-r1.apk2025-08-27 17:13 9.2K 
[   ]wl-screenrec-bash-completion-0.1.7-r1.apk2025-08-27 17:13 2.2K 
[   ]wl-screenrec-0.1.7-r1.apk2025-08-27 17:13 590K 
[   ]wl-kbptr-doc-0.4.0-r0.apk2025-07-20 14:23 4.5K 
[   ]wl-kbptr-0.4.0-r0.apk2025-07-20 14:23 27K 
[   ]wl-gammarelay-0.1.3-r3.apk2025-10-08 22:27 1.6M 
[   ]wl-clipboard-x11-doc-5-r3.apk2024-10-25 20:58 2.7K 
[   ]wl-clipboard-x11-5-r3.apk2024-10-25 20:58 3.2K 
[   ]wk-adblock-doc-0.0.4-r5.apk2024-10-25 20:58 1.8K 
[   ]wk-adblock-0.0.4-r5.apk2024-10-25 20:58 181K 
[   ]witchery-0.0.3-r2.apk2024-10-25 20:58 3.2K 
[   ]wiremix-doc-0.7.0-r0.apk2025-08-22 12:02 9.1K 
[   ]wiremix-0.7.0-r0.apk2025-08-22 12:02 876K 
[   ]wiremapper-0.10.0-r0.apk2024-10-25 20:58 22K 
[   ]wiki-tui-doc-0.8.2-r1.apk2024-10-25 20:58 4.3K 
[   ]wiki-tui-0.8.2-r1.apk2024-10-25 20:58 1.6M 
[   ]whipper-pyc-0.10.0-r5.apk2024-10-25 20:58 185K 
[   ]whipper-0.10.0-r5.apk2024-10-25 20:58 113K 
[   ]wgcf-zsh-completion-2.2.26-r4.apk2025-10-08 22:27 3.8K 
[   ]wgcf-fish-completion-2.2.26-r4.apk2025-10-08 22:27 4.1K 
[   ]wgcf-bash-completion-2.2.26-r4.apk2025-10-08 22:27 5.8K 
[   ]wgcf-2.2.26-r4.apk2025-10-08 22:27 3.8M 
[   ]wf-shell-doc-0.9.0-r0.apk2025-02-27 20:19 2.8K 
[   ]wf-shell-dev-0.9.0-r0.apk2025-02-27 20:19 1.4K 
[   ]wf-shell-0.9.0-r0.apk2025-02-27 20:19 6.1M 
[   ]wf-config-dev-0.9.0-r0.apk2025-02-27 20:19 16K 
[   ]wf-config-0.9.0-r0.apk2025-02-27 20:19 112K 
[   ]welle-io-doc-2.7-r0.apk2025-04-07 19:08 3.7K 
[   ]welle-io-2.7-r0.apk2025-04-07 19:08 413K 
[   ]welle-cli-2.7-r0.apk2025-04-07 19:08 318K 
[   ]weggli-0.2.4-r1.apk2024-10-25 20:58 853K 
[   ]webtunnel-0.0.2-r5.apk2025-10-08 22:27 3.6M 
[   ]webhookd-openrc-1.20.2-r4.apk2025-10-08 22:27 2.0K 
[   ]webhookd-doc-1.20.2-r4.apk2025-10-08 22:27 2.0K 
[   ]webhookd-1.20.2-r4.apk2025-10-08 22:27 3.2M 
[   ]wcm-0.9.0-r0.apk2025-02-27 20:19 372K 
[   ]wch-isp-udev-rules-0.4.1-r2.apk2024-10-25 20:58 1.4K 
[   ]wch-isp-doc-0.4.1-r2.apk2024-10-25 20:58 2.4K 
[   ]wch-isp-0.4.1-r2.apk2024-10-25 20:58 11K 
[   ]wbg-1.3.0-r0.apk2025-08-12 05:09 41K 
[   ]wayqt-dev-0.3.0-r1.apk2025-08-21 08:05 18K 
[   ]wayqt-0.3.0-r1.apk2025-08-21 08:05 142K 
[   ]waynergy-0.0.17-r1.apk2025-09-12 22:24 51K 
[   ]waylevel-1.0.0-r1.apk2024-10-25 20:58 326K 
[   ]wayfire-plugins-extra-0.9.0-r0.apk2025-02-27 20:19 593K 
[   ]wayfire-doc-0.9.0-r0.apk2025-02-27 20:19 3.4K 
[   ]wayfire-dev-0.9.0-r0.apk2025-02-27 20:19 130K 
[   ]wayfire-0.9.0-r0.apk2025-02-27 20:19 2.6M 
[   ]way-secure-doc-0.2.0-r0.apk2025-04-18 22:26 2.8K 
[   ]way-secure-0.2.0-r0.apk2025-04-18 22:26 225K 
[   ]way-displays-doc-1.15.0-r0.apk2025-10-03 08:45 4.3K 
[   ]way-displays-1.15.0-r0.apk2025-10-03 08:45 120K 
[   ]watershot-0.2.0-r0.apk2024-10-25 20:58 1.8M 
[   ]watchdog-doc-5.16-r2.apk2024-10-25 20:58 14K 
[   ]watchdog-5.16-r2.apk2024-10-25 20:58 48K 
[   ]watchbind-doc-0.2.1-r1.apk2024-10-25 20:58 6.3K 
[   ]watchbind-0.2.1-r1.apk2024-10-25 20:58 1.1M 
[   ]wasmtime-dev-34.0.1-r0.apk2025-07-21 02:35 91K 
[   ]wasmtime-34.0.1-r0.apk2025-07-21 02:35 6.7M 
[   ]warpinator-nemo-1.8.9-r1.apk2025-10-05 20:59 3.9K 
[   ]warpinator-lang-1.8.9-r1.apk2025-10-05 20:59 222K 
[   ]warpinator-1.8.9-r1.apk2025-10-05 20:59 216K 
[   ]warp-s3-1.3.0-r2.apk2025-10-08 22:27 7.8M 
[   ]walk-sor-doc-0_git20190920-r1.apk2024-10-25 20:58 7.5K 
[   ]walk-sor-0_git20190920-r1.apk2024-10-25 20:58 5.7K 
[   ]walk-doc-1.13.0-r7.apk2025-10-08 22:27 2.0K 
[   ]walk-1.13.0-r7.apk2025-10-08 22:27 3.0M 
[   ]wakeonlan-doc-0.42-r0.apk2024-10-25 20:58 7.3K 
[   ]wakeonlan-0.42-r0.apk2024-10-25 20:58 4.2K 
[   ]wabt-doc-1.0.37-r0.apk2025-04-06 21:41 13K 
[   ]wabt-1.0.37-r0.apk2025-04-06 21:41 4.4M 
[   ]w_scan2-doc-1.0.17-r0.apk2025-06-11 17:46 3.9K 
[   ]w_scan2-1.0.17-r0.apk2025-06-11 17:46 134K 
[   ]vym-doc-2.9.26-r0.apk2024-10-25 20:58 3.4M 
[   ]vym-2.9.26-r0.apk2024-10-25 20:58 2.8M 
[   ]volumeicon-lang-0.5.1-r1.apk2024-10-25 20:58 3.5K 
[   ]volumeicon-0.5.1-r1.apk2024-10-25 20:58 43K 
[   ]volatility3-pyc-2.26.0-r0.apk2025-08-13 15:29 1.2M 
[   ]volatility3-2.26.0-r0.apk2025-08-13 15:29 1.0M 
[   ]voikko-fi-2.5-r0.apk2024-10-25 20:58 1.6M 
[   ]vmtouch-doc-1.3.1-r0.apk2024-10-25 20:58 7.8K 
[   ]vmtouch-1.3.1-r0.apk2024-10-25 20:58 13K 
[   ]vkbasalt-doc-0.3.2.10-r0.apk2024-10-25 20:58 2.8K 
[   ]vkbasalt-0.3.2.10-r0.apk2024-10-25 20:58 410K 
[   ]vit-pyc-2.3.2-r1.apk2024-10-25 20:58 151K 
[   ]vit-2.3.2-r1.apk2024-10-25 20:58 80K 
[   ]visidata-zsh-completion-3.3-r0.apk2025-10-03 08:45 9.2K 
[   ]visidata-pyc-3.3-r0.apk2025-10-03 08:45 846K 
[   ]visidata-doc-3.3-r0.apk2025-10-03 08:45 18K 
[   ]visidata-3.3-r0.apk2025-10-03 08:45 424K 
[   ]virtualgl-doc-3.1.4-r0.apk2025-10-09 00:04 314K 
[   ]virtualgl-dev-3.1.4-r0.apk2025-10-09 00:04 5.9K 
[   ]virtualgl-3.1.4-r0.apk2025-10-09 00:04 2.0M 
[   ]virter-zsh-completion-0.29.0-r4.apk2025-10-08 22:27 3.8K 
[   ]virter-fish-completion-0.29.0-r4.apk2025-10-08 22:27 4.1K 
[   ]virter-doc-0.29.0-r4.apk2025-10-08 22:27 15K 
[   ]virter-bash-completion-0.29.0-r4.apk2025-10-08 22:27 5.8K 
[   ]virter-0.29.0-r4.apk2025-10-08 22:27 5.6M 
[   ]virtctl-zsh-completion-1.6.0-r3.apk2025-10-08 22:27 3.8K 
[   ]virtctl-fish-completion-1.6.0-r3.apk2025-10-08 22:27 4.1K 
[   ]virtctl-bash-completion-1.6.0-r3.apk2025-10-08 22:27 4.9K 
[   ]virtctl-1.6.0-r3.apk2025-10-08 22:27 14M 
[   ]vim-rust-305-r1.apk2025-08-21 08:06 20K 
[   ]vim-nerdtree-7.1.3-r0.apk2025-03-04 21:01 66K 
[   ]vim-airline-doc-0.11-r0.apk2024-10-25 20:58 12K 
[   ]vim-airline-0.11-r0.apk2024-10-25 20:58 86K 
[   ]vile-doc-9.8z_p1-r2.apk2025-10-06 11:33 357K 
[   ]vile-common-9.8z_p1-r2.apk2025-10-06 11:33 363K 
[   ]vile-9.8z_p1-r2.apk2025-10-06 11:33 791K 
[   ]viewnior-lang-1.8-r1.apk2024-10-25 20:58 85K 
[   ]viewnior-doc-1.8-r1.apk2024-10-25 20:58 1.8K 
[   ]viewnior-1.8-r1.apk2024-10-25 20:58 76K 
[   ]video-trimmer-lang-25.03-r0.apk2025-06-30 13:48 92K 
[   ]video-trimmer-25.03-r0.apk2025-06-30 13:48 395K 
[   ]vidcutter-pyc-6.0.5.3-r0.apk2024-10-25 20:58 1.9M 
[   ]vidcutter-doc-6.0.5.3-r0.apk2024-10-25 20:58 24K 
[   ]vidcutter-6.0.5.3-r0.apk2024-10-25 20:58 2.8M 
[   ]vice-3.9-r2.apk2025-10-03 08:45 14M 
[   ]vfd-configurations-0_git20230612-r0.apk2024-10-25 20:58 25K 
[   ]vera++-1.3.0-r10.apk2024-10-25 20:58 259K 
[   ]vectoroids-doc-1.1.0-r2.apk2024-10-25 20:58 2.0K 
[   ]vectoroids-1.1.0-r2.apk2024-10-25 20:58 282K 
[   ]vector-openrc-0.50.0-r0.apk2025-10-05 19:28 1.9K 
[   ]vector-doc-0.50.0-r0.apk2025-10-05 19:28 5.5K 
[   ]vector-0.50.0-r0.apk2025-10-05 19:28 26M 
[   ]vcstool-zsh-completion-0.3.0-r5.apk2024-10-25 20:58 1.5K 
[   ]vcstool-tcsh-completion-0.3.0-r5.apk2024-10-25 20:58 1.4K 
[   ]vcstool-pyc-0.3.0-r5.apk2024-10-25 20:58 57K 
[   ]vcstool-bash-completion-0.3.0-r5.apk2024-10-25 20:58 1.5K 
[   ]vcstool-0.3.0-r5.apk2024-10-25 20:58 35K 
[   ]vcsh-zsh-completion-2.0.5-r0.apk2024-10-25 20:58 2.7K 
[   ]vcsh-doc-2.0.5-r0.apk2024-10-25 20:58 27K 
[   ]vcsh-bash-completion-2.0.5-r0.apk2024-10-25 20:58 2.7K 
[   ]vcsh-2.0.5-r0.apk2024-10-25 20:58 8.5K 
[   ]vcmi-1.6.8-r2.apk2025-10-03 08:45 13M 
[   ]vcdimager-doc-2.0.1-r5.apk2025-01-22 10:34 74K 
[   ]vcdimager-dev-2.0.1-r5.apk2025-01-22 10:34 129K 
[   ]vcdimager-2.0.1-r5.apk2025-01-22 10:34 484K 
[   ]varnish-modules-doc-0.26.0-r0.apk2025-07-06 19:18 21K 
[   ]varnish-modules-0.26.0-r0.apk2025-07-06 19:18 56K 
[   ]vals-0.42.0-r3.apk2025-10-08 22:27 34M 
[   ]uxn-doc-1.0-r0.apk2024-10-25 20:58 3.9K 
[   ]uxn-1.0-r0.apk2024-10-25 20:58 47K 
[   ]uucp-doc-1.07-r6.apk2024-10-25 20:58 118K 
[   ]uucp-1.07-r6.apk2024-10-25 20:58 499K 
[   ]utop-full-2.9.1-r4.apk2024-10-25 20:58 13M 
[   ]utop-emacs-2.9.1-r4.apk2024-10-25 20:58 13K 
[   ]utop-doc-2.9.1-r4.apk2024-10-25 20:58 5.5K 
[   ]utop-common-2.9.1-r4.apk2024-10-25 20:58 1.8K 
[   ]utop-2.9.1-r4.apk2024-10-25 20:58 13M 
[   ]ustr-static-1.0.4-r1.apk2024-10-25 20:58 180K 
[   ]ustr-doc-1.0.4-r1.apk2024-10-25 20:58 96K 
[   ]ustr-dev-1.0.4-r1.apk2024-10-25 20:58 91K 
[   ]ustr-debug-1.0.4-r1.apk2024-10-25 20:58 77K 
[   ]ustr-1.0.4-r1.apk2024-10-25 20:58 59K 
[   ]usql-0.15.6-r17.apk2025-10-08 22:27 28M 
[   ]usbtop-1.0-r0.apk2025-04-12 12:27 15K 
[   ]usbmuxd-udev-1.1.1_git20250201-r9.apk2025-08-24 08:04 1.8K 
[   ]usbmuxd-doc-1.1.1_git20250201-r9.apk2025-08-24 08:04 2.7K 
[   ]usbmuxd-1.1.1_git20250201-r9.apk2025-08-24 08:04 36K 
[   ]uranium-5.2.2-r3.apk2024-10-25 20:58 595K 
[   ]upterm-zsh-completion-0.14.3-r9.apk2025-10-08 22:27 3.8K 
[   ]upterm-server-openrc-0.14.3-r9.apk2025-10-08 22:27 1.6K 
[   ]upterm-server-0.14.3-r9.apk2025-10-08 22:27 5.7M 
[   ]upterm-doc-0.14.3-r9.apk2025-10-08 22:27 6.1K 
[   ]upterm-bash-completion-0.14.3-r9.apk2025-10-08 22:27 5.3K 
[   ]upterm-0.14.3-r9.apk2025-10-08 22:27 5.9M 
[   ]up-0.4-r33.apk2025-10-08 22:27 1.2M 
[   ]unit-php85-1.35.0-r2.apk2025-10-03 08:45 34K 
[   ]undock-0.10.0-r4.apk2025-10-08 22:27 9.8M 
[   ]ufw-docker-doc-250710-r0.apk2025-09-14 06:52 13K 
[   ]ufw-docker-250710-r0.apk2025-09-14 06:52 7.2K 
[   ]ueberzug-pyc-18.3.1-r0.apk2025-02-22 11:09 64K 
[   ]ueberzug-18.3.1-r0.apk2025-02-22 11:09 65K 
[   ]udpt-openrc-3.1.2-r0.apk2024-10-25 20:58 1.5K 
[   ]udpt-3.1.2-r0.apk2024-10-25 20:58 749K 
[   ]uclient-fetch-20241022-r0.apk2025-05-29 22:00 10K 
[   ]uclient-dev-20241022-r0.apk2025-05-29 22:00 3.3K 
[   ]uclient-20241022-r0.apk2025-05-29 22:00 20K 
[   ]ubus-dev-2025.10.04-r0.apk2025-10-06 21:16 5.3K 
[   ]ubus-2025.10.04-r0.apk2025-10-06 21:16 38K 
[   ]ubuntu-archive-keyring-doc-2023.11.28.1-r0.apk2024-10-25 20:58 2.0KUbuntu Linux
[   ]ubuntu-archive-keyring-2023.11.28.1-r0.apk2024-10-25 20:58 16KUbuntu Linux
[   ]ubase-doc-20200605-r3.apk2024-10-25 20:58 21K 
[   ]ubase-20200605-r3.apk2024-10-25 20:58 45K 
[   ]uasm-2.56.2-r0.apk2024-10-25 20:58 282K 
[   ]u1db-qt-0.1.8-r0.apk2024-10-25 20:58 99K 
[   ]typstyle-0.12.14-r0.apk2025-01-12 14:14 556K 
[   ]typobuster-1.0.0-r0.apk2025-04-23 22:31 129K 
[   ]typlite-0.13.31-r0.apk2025-10-03 08:45 16M 
[   ]ty-zsh-completion-0.0.1_alpha19-r0.apk2025-09-01 00:25 3.1K 
[   ]ty-pyc-0.0.1_alpha19-r0.apk2025-09-01 00:25 3.6K 
[   ]ty-fish-completion-0.0.1_alpha19-r0.apk2025-09-01 00:25 2.8K 
[   ]ty-bash-completion-0.0.1_alpha19-r0.apk2025-09-01 00:25 2.3K 
[   ]ty-0.0.1_alpha19-r0.apk2025-09-01 00:25 5.9M 
[   ]twinkle-doc-1.10.3-r3.apk2025-01-04 21:55 3.2K 
[   ]twinkle-1.10.3-r3.apk2025-01-04 21:55 2.4M 
[   ]twiggy-0.6.0-r3.apk2024-10-25 20:58 824K 
[   ]twemproxy-doc-0.5.0-r0.apk2024-10-25 20:58 17K 
[   ]twemproxy-0.5.0-r0.apk2024-10-25 20:58 71K 
[   ]turntable-lang-0.3.3-r0.apk2025-05-27 13:02 12K 
[   ]turntable-0.3.3-r0.apk2025-05-27 13:02 184K 
[   ]turnstile-openrc-0.1.10-r3.apk2024-10-25 20:58 1.5K 
[   ]turnstile-doc-0.1.10-r3.apk2024-10-25 20:58 5.4K 
[   ]turnstile-0.1.10-r3.apk2024-10-25 20:58 39K 
[   ]turn-rs-openrc-3.4.0-r1.apk2025-06-12 14:19 1.7K 
[   ]turn-rs-doc-3.4.0-r1.apk2025-06-12 14:19 11K 
[   ]turn-rs-3.4.0-r1.apk2025-06-12 14:19 622K 
[   ]tuptime-openrc-5.2.4-r2.apk2025-09-06 17:18 1.5K 
[   ]tuptime-doc-5.2.4-r2.apk2025-09-06 17:18 3.5K 
[   ]tuptime-5.2.4-r2.apk2025-09-06 17:18 14K 
[   ]tup-vim-0.7.11-r1.apk2025-06-19 08:53 2.3K 
[   ]tup-doc-0.7.11-r1.apk2025-06-19 08:53 21K 
[   ]tup-0.7.11-r1.apk2025-06-19 08:53 242K 
[   ]tuned-utils-2.26.0-r0.apk2025-08-27 04:27 10K 
[   ]tuned-pyc-2.26.0-r0.apk2025-08-27 04:27 335K 
[   ]tuned-profiles-compat-2.26.0-r0.apk2025-08-27 04:27 3.3K 
[   ]tuned-profiles-2.26.0-r0.apk2025-08-27 04:27 8.0K 
[   ]tuned-ppd-openrc-2.26.0-r0.apk2025-08-27 04:27 1.5K 
[   ]tuned-ppd-2.26.0-r0.apk2025-08-27 04:27 3.4K 
[   ]tuned-openrc-2.26.0-r0.apk2025-08-27 04:27 1.4K 
[   ]tuned-gtk-2.26.0-r0.apk2025-08-27 04:27 22K 
[   ]tuned-doc-2.26.0-r0.apk2025-08-27 04:27 79K 
[   ]tuned-bash-completion-2.26.0-r0.apk2025-08-27 04:27 1.7K 
[   ]tuned-2.26.0-r0.apk2025-08-27 04:27 160K 
[   ]tui-journal-doc-0.10.0-r0.apk2024-10-25 20:58 6.6K 
[   ]tui-journal-0.10.0-r0.apk2024-10-25 20:58 2.0M 
[   ]ttyper-1.6.0-r0.apk2025-02-05 21:53 644K 
[   ]tty-share-2.4.0-r22.apk2025-10-08 22:27 3.6M 
[   ]tty-proxy-0.0.2-r32.apk2025-10-08 22:27 2.5M 
[   ]tty-clock-doc-2.3_git20240104-r0.apk2024-10-25 20:58 2.9K 
[   ]tty-clock-2.3_git20240104-r0.apk2024-10-25 20:58 8.4K 
[   ]ttfautohint-libs-1.8.4-r0.apk2024-10-25 20:58 103K 
[   ]ttfautohint-gui-1.8.4-r0.apk2024-10-25 20:58 59K 
[   ]ttfautohint-doc-1.8.4-r0.apk2024-10-25 20:58 7.8K 
[   ]ttfautohint-dev-1.8.4-r0.apk2024-10-25 20:58 157K 
[   ]ttfautohint-1.8.4-r0.apk2024-10-25 20:58 28K 
[   ]tsung-1.8.0-r3.apk2025-06-13 05:51 721K 
[   ]trivy-0.66.0-r2.apk2025-10-08 22:27 62M 
[   ]trippy-zsh-completion-0.13.0-r0.apk2025-05-18 23:21 4.6K 
[   ]trippy-bash-completion-0.13.0-r0.apk2025-05-18 23:21 2.9K 
[   ]trippy-0.13.0-r0.apk2025-05-18 23:21 2.2M 
[   ]trigger-rally-doc-0.6.7-r3.apk2025-03-25 15:25 28K 
[   ]trigger-rally-data-0.6.7-r3.apk2025-03-25 15:25 352M 
[   ]trigger-rally-0.6.7-r3.apk2025-03-25 15:24 312K 
[   ]tremc-zsh-completion-0.9.4-r0.apk2025-07-16 04:17 1.6K 
[   ]tremc-doc-0.9.4-r0.apk2025-07-16 04:17 2.6K 
[   ]tremc-bash-completion-0.9.4-r0.apk2025-07-16 04:17 1.6K 
[   ]tremc-0.9.4-r0.apk2025-07-16 04:17 52K 
[   ]tree-sitter-pascal-doc-0.9.1-r0.apk2024-10-25 20:57 2.0K 
[   ]tree-sitter-pascal-0.9.1-r0.apk2024-10-25 20:57 82K 
[   ]tree-sitter-make-0_git20211216-r2.apk2024-10-25 20:57 42K 
[   ]tree-sitter-just-0_git20230318-r0.apk2024-10-25 20:57 15K 
[   ]tree-sitter-hcl-1.2.0-r0.apk2025-06-19 00:22 22K 
[   ]tree-sitter-haskell-0.23.1-r0.apk2025-01-09 15:59 285K 
[   ]tree-sitter-hare-0_git20230616-r2.apk2025-07-22 20:20 33K 
[   ]tree-sitter-gleam-1.1.0-r0.apk2025-10-08 23:23 64K 
[   ]tree-sitter-git-rebase-0_git20240722-r0.apk2025-03-10 23:05 5.3K 
[   ]tree-sitter-git-diff-0_git20230730-r1.apk2025-07-25 12:57 10K 
[   ]tree-sitter-git-commit-0_git20211225-r4.apk2025-07-25 12:56 13K 
[   ]tree-sitter-dart-0_git20250228-r0.apk2025-03-10 23:05 100K 
[   ]tree-sitter-clojure-0.0.13-r0.apk2025-07-23 12:00 23K 
[   ]tree-sitter-caddy-doc-0_git20230322-r0.apk2024-10-25 20:57 2.0K 
[   ]tree-sitter-caddy-0_git20230322-r0.apk2024-10-25 20:57 73K 
[   ]trealla-doc-2.83.16-r0.apk2025-10-09 05:45 25K 
[   ]trealla-2.83.16-r0.apk2025-10-09 05:45 907K 
[   ]tre-static-0.8.0-r2.apk2024-10-25 20:57 31K 
[   ]tre-dev-0.8.0-r2.apk2024-10-25 20:57 4.9K 
[   ]tre-0.8.0-r2.apk2024-10-25 20:57 28K 
[   ]trantor-doc-1.5.18-r0.apk2024-10-25 20:57 2.4K 
[   ]trantor-dev-1.5.18-r0.apk2024-10-25 20:57 34K 
[   ]trantor-1.5.18-r0.apk2024-10-25 20:57 245K 
[   ]transmission-remote-gtk-lang-1.6.0-r0.apk2024-10-25 20:57 106K 
[   ]transmission-remote-gtk-doc-1.6.0-r0.apk2024-10-25 20:57 4.0K 
[   ]transmission-remote-gtk-1.6.0-r0.apk2024-10-25 20:57 153K 
[   ]transito-doc-0.9.1-r12.apk2025-10-08 22:27 755K 
[   ]transito-0.9.1-r12.apk2025-10-08 22:27 8.2M 
[   ]trace-cmd-doc-3.3.1-r1.apk2025-01-19 11:58 171K 
[   ]trace-cmd-dbg-3.3.1-r1.apk2025-01-19 11:58 470K 
[   ]trace-cmd-bash-completion-3.3.1-r1.apk2025-01-19 11:58 3.1K 
[   ]trace-cmd-3.3.1-r1.apk2025-01-19 11:58 172K 
[   ]tqm-1.17.0-r1.apk2025-10-08 22:27 4.4M 
[   ]tpp-bypass-0.8.4-r0.apk2024-10-25 20:57 14K 
[   ]tpm2-pkcs11-pyc-1.9.1-r0.apk2024-10-25 20:57 69K 
[   ]tpm2-pkcs11-dev-1.9.1-r0.apk2024-10-25 20:57 1.6K 
[   ]tpm2-pkcs11-1.9.1-r0.apk2024-10-25 20:57 129K 
[   ]toybox-0.8.12-r0.apk2025-07-20 15:25 284K 
[   ]touchpad-emulator-0.3-r0.apk2025-05-26 17:46 13K 
[   ]toss-1.1-r1.apk2025-05-29 12:09 14K 
[   ]torrent-file-editor-0.3.18-r0.apk2024-10-25 20:57 370K 
[   ]topgit-doc-0.19.13-r1.apk2024-10-25 20:57 73K 
[   ]topgit-bash-completion-0.19.13-r1.apk2024-10-25 20:57 3.8K 
[   ]topgit-0.19.13-r1.apk2024-10-25 20:57 126K 
[   ]tonutils-reverse-proxy-doc-0.4.6-r3.apk2025-10-08 22:27 3.0K 
[   ]tonutils-reverse-proxy-0.4.6-r3.apk2025-10-08 22:27 4.2M 
[   ]toml2json-doc-1.3.2-r0.apk2025-09-01 13:13 3.4K 
[   ]toml2json-1.3.2-r0.apk2025-09-01 13:13 377K 
[   ]tokay-doc-0.6.12-r0.apk2025-08-15 15:04 4.8K 
[   ]tokay-0.6.12-r0.apk2025-08-15 15:04 1.0M 
[   ]today-doc-6.2.1-r0.apk2025-06-17 15:29 3.0K 
[   ]today-6.2.1-r0.apk2025-06-17 15:29 2.9K 
[   ]toapk-1.0-r0.apk2024-10-25 20:57 11K 
[   ]tnef-doc-1.4.18-r0.apk2024-10-25 20:57 4.0K 
[   ]tnef-1.4.18-r0.apk2024-10-25 20:57 26K 
[   ]tncattach-doc-0.1.9-r1.apk2024-10-25 20:57 3.6K 
[   ]tncattach-0.1.9-r1.apk2024-10-25 20:57 23K 
[   ]tmux-rime-dev-0.0.5-r0.apk2025-08-15 10:11 4.9K 
[   ]tmux-rime-0.0.5-r0.apk2025-08-15 10:11 7.7K 
[   ]tmux-resurrect-doc-4.0.0-r0.apk2024-10-25 20:57 8.4K 
[   ]tmux-resurrect-4.0.0-r0.apk2024-10-25 20:57 14K 
[   ]tmpmail-doc-1.2.3-r2.apk2024-10-25 20:57 3.0K 
[   ]tmpmail-1.2.3-r2.apk2024-10-25 20:57 6.8K 
[   ]tmpl-doc-0.4.0-r15.apk2025-10-08 22:27 2.0K 
[   ]tmpl-0.4.0-r15.apk2025-10-08 22:27 2.6M 
[   ]tmate-doc-2.4.0-r4.apk2024-10-25 20:57 71K 
[   ]tmate-2.4.0-r4.apk2024-10-25 20:57 310K 
[   ]tldr-python-client-pyc-3.3.0-r0.apk2024-12-01 17:09 14K 
[   ]tldr-python-client-doc-3.3.0-r0.apk2024-12-01 17:09 3.2K 
[   ]tldr-python-client-3.3.0-r0.apk2024-12-01 17:09 12K 
[   ]tk9-doc-9.0.2-r0.apk2025-07-03 08:16 1.3M 
[   ]tk9-dev-9.0.2-r0.apk2025-07-03 08:16 81K 
[   ]tk9-9.0.2-r0.apk2025-07-03 08:16 856K 
[   ]tinyscheme-1.42-r1.apk2024-10-25 20:57 67K 
[   ]tinymist-0.13.31-r0.apk2025-10-03 08:45 20M 
[   ]tinygltf-dev-2.9.6-r0.apk2025-06-08 17:01 57K 
[   ]tinygltf-2.9.6-r0.apk2025-06-08 17:01 145K 
[   ]tinyemu-2019.12.21-r0.apk2025-05-25 23:37 180K 
[   ]tintin-2.02.31-r0.apk2024-10-25 20:57 1.8M 
[   ]timoni-zsh-completion-0.23.0-r9.apk2025-10-08 22:27 3.8K 
[   ]timoni-fish-completion-0.23.0-r9.apk2025-10-08 22:27 4.1K 
[   ]timoni-doc-0.23.0-r9.apk2025-10-08 22:27 338K 
[   ]timoni-bash-completion-0.23.0-r9.apk2025-10-08 22:27 7.7K 
[   ]timoni-0.23.0-r9.apk2025-10-08 22:27 22M 
[   ]timewarrior-doc-1.7.1-r0.apk2024-10-25 20:57 22K 
[   ]timewarrior-1.7.1-r0.apk2024-10-25 20:57 277K 
[   ]timew-doc-1.4.3-r1.apk2024-10-25 20:57 53K 
[   ]timew-bash-completion-1.4.3-r1.apk2024-10-25 20:57 2.5K 
[   ]timew-1.4.3-r1.apk2024-10-25 20:57 268K 
[   ]timeshift-lang-25.07.7-r0.apk2025-09-06 17:18 927K 
[   ]timeshift-doc-25.07.7-r0.apk2025-09-06 17:18 2.9K 
[   ]timeshift-25.07.7-r0.apk2025-09-06 17:18 475K 
[   ]ticker-zsh-completion-4.8.1-r6.apk2025-10-08 22:27 3.5K 
[   ]ticker-fish-completion-4.8.1-r6.apk2025-10-08 22:27 3.6K 
[   ]ticker-bash-completion-4.8.1-r6.apk2025-10-08 22:27 4.3K 
[   ]ticker-4.8.1-r6.apk2025-10-08 22:27 3.9M 
[   ]tick-doc-1.2.3-r0.apk2025-10-06 06:06 5.3K 
[   ]tick-1.2.3-r0.apk2025-10-06 06:06 11K 
[   ]thunarx-python-doc-0.5.2-r2.apk2024-10-25 20:57 25K 
[   ]thunarx-python-0.5.2-r2.apk2024-10-25 20:57 10K 
[   ]thunar-gtkhash-plugin-1.5-r0.apk2025-03-10 19:32 23K 
[   ]thumbdrives-0.3.2-r2.apk2024-10-25 20:57 11K 
[   ]throttled-pyc-0.10.0-r1.apk2024-12-15 19:50 28K 
[   ]throttled-openrc-0.10.0-r1.apk2024-12-15 19:50 1.3K 
[   ]throttled-0.10.0-r1.apk2024-12-15 19:50 14K 
[   ]theme.sh-doc-1.1.5-r0.apk2024-10-25 20:57 2.1K 
[   ]theme.sh-1.1.5-r0.apk2024-10-25 20:57 39K 
[   ]thelounge-openrc-4.4.3-r0.apk2024-10-25 20:57 1.8K 
[   ]thelounge-doc-4.4.3-r0.apk2024-10-25 20:57 2.0K 
[   ]thelounge-4.4.3-r0.apk2024-10-25 20:57 28M 
[   ]thefuck-pyc-3.32-r5.apk2024-10-25 20:57 155K 
[   ]thefuck-3.32-r5.apk2024-10-25 20:57 83K 
[   ]theforceengine-doc-1.09.540-r1.apk2024-10-25 20:57 6.3M 
[   ]theforceengine-1.09.540-r1.apk2024-10-25 20:57 7.0M 
[   ]tfupdate-doc-0.8.2-r10.apk2025-10-08 22:27 2.0K 
[   ]tfupdate-0.8.2-r10.apk2025-10-08 22:27 4.7M 
[   ]texlab-5.23.1-r0.apk2025-08-22 07:33 8.8M 
[   ]termusic-mpv-0.11.0-r0.apk2025-10-06 12:48 9.9M 
[   ]terminology-lang-1.14.0-r0.apk2025-05-27 23:25 143K 
[   ]terminology-doc-1.14.0-r0.apk2025-05-27 23:25 8.7K 
[   ]terminology-1.14.0-r0.apk2025-05-27 23:25 2.7M 
[   ]terminalpp-ropen-0.8.4-r0.apk2024-10-25 20:57 59K 
[   ]terminalpp-0.8.4-r0.apk2024-10-25 20:57 440K 
[   ]termcolor-dev-2.1.0-r0.apk2024-10-25 20:57 6.6K 
[   ]termcolor-2.1.0-r0.apk2024-10-25 20:57 1.2K 
[   ]termbox-static-1.1.2-r1.apk2024-10-25 20:57 14K 
[   ]termbox-dev-1.1.2-r1.apk2024-10-25 20:57 5.5K 
[   ]termbox-1.1.2-r1.apk2024-10-25 20:57 12K 
[   ]tere-doc-1.6.0-r0.apk2024-10-25 20:57 14K 
[   ]tere-1.6.0-r0.apk2024-10-25 20:57 1.0M 
[   ]tenv-zsh-completion-4.7.6-r4.apk2025-10-08 22:27 3.8K 
[   ]tenv-fish-completion-4.7.6-r4.apk2025-10-08 22:27 4.1K 
[   ]tenv-bash-completion-4.7.6-r4.apk2025-10-08 22:27 5.8K 
[   ]tenv-4.7.6-r4.apk2025-10-08 22:27 9.4M 
[   ]tempo-vulture-openrc-2.8.2-r2.apk2025-10-08 22:27 1.7K 
[   ]tempo-vulture-2.8.2-r2.apk2025-10-08 22:27 13M 
[   ]tempo-query-2.8.2-r2.apk2025-10-08 22:27 6.4M 
[   ]tempo-openrc-2.8.2-r2.apk2025-10-08 22:27 1.6K 
[   ]tempo-cli-2.8.2-r2.apk2025-10-08 22:27 20M 
[   ]tempo-2.8.2-r2.apk2025-10-08 22:27 28M 
[   ]templ-0.3.920-r3.apk2025-10-08 22:27 5.1M 
[   ]telegram-tdlib-static-1.8.51-r0.apk2025-08-02 10:28 21M 
[   ]telegram-tdlib-dev-1.8.51-r0.apk2025-08-02 10:28 188K 
[   ]telegram-tdlib-1.8.51-r0.apk2025-08-02 10:28 7.8M 
[   ]telegram-bot-api-9.1-r0.apk2025-08-02 10:39 7.8M 
[   ]teapot-tools-0.4.2-r2.apk2024-10-25 20:57 2.1M 
[   ]tealdeer-zsh-completion-1.7.2-r0.apk2025-03-23 13:21 2.1K 
[   ]tealdeer-fish-completion-1.7.2-r0.apk2025-03-23 13:21 1.9K 
[   ]tealdeer-bash-completion-1.7.2-r0.apk2025-03-23 13:21 1.7K 
[   ]tealdeer-1.7.2-r0.apk2025-03-23 13:21 950K 
[   ]tdrop-doc-0.5.0-r0.apk2024-10-25 20:57 8.9K 
[   ]tdrop-0.5.0-r0.apk2024-10-25 20:57 12K 
[   ]tcpbench-doc-3.00-r1.apk2025-09-12 22:24 5.0K 
[   ]tcpbench-3.00-r1.apk2025-09-12 22:24 13K 
[   ]tcmu-runner-rbd-1.6.0-r6.apk2024-10-25 20:57 13K 
[   ]tcmu-runner-doc-1.6.0-r6.apk2024-10-25 20:57 2.3K 
[   ]tcmu-runner-1.6.0-r6.apk2024-10-25 20:57 90K 
[   ]tcl9-doc-9.0.2-r0.apk2025-07-03 08:16 1.4M 
[   ]tcl9-dev-9.0.2-r0.apk2025-07-03 08:16 185K 
[   ]tcl9-9.0.2-r0.apk2025-07-03 08:16 1.9M 
[   ]tcl-curl-doc-7.22.0-r0.apk2024-10-25 20:57 37K 
[   ]tcl-curl-7.22.0-r0.apk2024-10-25 20:57 32K 
[   ]tayga-doc-0.9.5-r0.apk2025-06-20 07:59 5.8K 
[   ]tayga-0.9.5-r0.apk2025-06-20 07:59 29K 
[   ]taskwarrior-tui-fish-completion-0.26.3-r0.apk2025-03-26 10:27 1.5K 
[   ]taskwarrior-tui-doc-0.26.3-r0.apk2025-03-26 10:27 3.7K 
[   ]taskwarrior-tui-bash-completion-0.26.3-r0.apk2025-03-26 10:27 1.7K 
[   ]taskwarrior-tui-0.26.3-r0.apk2025-03-26 10:27 1.2M 
[   ]taskcafe-openrc-0.3.6-r17.apk2025-10-08 22:27 1.6K 
[   ]taskcafe-0.3.6-r17.apk2025-10-08 22:27 13M 
[   ]tartube-pyc-2.5.0-r1.apk2024-10-25 20:57 1.1M 
[   ]tartube-2.5.0-r1.apk2024-10-25 20:57 2.7M 
[   ]tanka-0.32.0-r4.apk2025-10-08 22:27 4.4M 
[   ]tanidvr-dhav2mkv-1.4.1-r2.apk2025-03-21 11:52 11K 
[   ]tanidvr-1.4.1-r2.apk2025-03-21 11:52 22K 
[   ]tangctl-0_git20241007-r8.apk2025-10-08 22:27 2.5M 
[   ]tangara-companion-0.5.0-r0.apk2025-10-03 08:45 952K 
[   ]tang-openrc-15-r0.apk2025-01-20 04:17 1.6K 
[   ]tang-doc-15-r0.apk2025-01-20 04:17 20K 
[   ]tang-dbg-15-r0.apk2025-01-20 04:17 30K 
[   ]tang-15-r0.apk2025-01-20 04:17 16K 
[   ]tailspin-zsh-completion-5.4.2-r0.apk2025-05-14 17:30 2.2K 
[   ]tailspin-fish-completion-5.4.2-r0.apk2025-05-14 17:30 1.9K 
[   ]tailspin-doc-5.4.2-r0.apk2025-05-14 17:30 2.8K 
[   ]tailspin-bash-completion-5.4.2-r0.apk2025-05-14 17:30 2.0K 
[   ]tailspin-5.4.2-r0.apk2025-05-14 17:30 1.2M 
[   ]tachyon-scenes-0.99_beta6-r1.apk2024-10-25 20:57 1.9M 
[   ]tachyon-0.99_beta6-r1.apk2024-10-25 20:57 119K 
[   ]tabiew-0.11.0-r0.apk2025-07-30 08:11 14M 
[   ]t2sz-1.1.2-r0.apk2024-10-25 20:57 9.1K 
[   ]syncthing-gtk-pyc-0.9.4.5-r2.apk2024-10-25 20:57 220K 
[   ]syncthing-gtk-doc-0.9.4.5-r2.apk2024-10-25 20:57 1.9K 
[   ]syncthing-gtk-0.9.4.5-r2.apk2024-10-25 20:57 440K 
[   ]synapse-bt-openrc-1.0-r4.apk2024-10-25 20:57 1.6K 
[   ]synapse-bt-cli-1.0-r4.apk2024-10-25 20:57 1.0M 
[   ]synapse-bt-1.0-r4.apk2024-10-25 20:57 1.1M 
[   ]sympow-doc-2.023.7-r2.apk2024-10-25 20:57 2.8K 
[   ]sympow-2.023.7-r2.apk2024-10-25 20:57 1.8M 
[   ]symlinks-doc-1.4.3-r0.apk2025-04-21 21:27 3.6K 
[   ]symlinks-1.4.3-r0.apk2025-04-21 21:27 6.3K 
[   ]symengine-0.12.0-r0.apk2024-10-25 20:57 3.3M 
[   ]symbiyosys-0.36-r0.apk2024-10-25 20:57 37K 
[   ]sylpheed-imap-notify-1.1.0-r2.apk2024-10-25 20:57 8.6K 
[   ]sydbox-vim-3.21.3-r0.apk2024-10-25 20:57 5.0K 
[   ]sydbox-utils-3.21.3-r0.apk2024-10-25 20:57 6.7M 
[   ]sydbox-oci-3.21.3-r0.apk2024-10-25 20:57 1.9M 
[   ]sydbox-doc-3.21.3-r0.apk2024-10-25 20:57 84K 
[   ]sydbox-3.21.3-r0.apk2024-10-25 20:57 1.5M 
[   ]sxcs-doc-1.1.0-r0.apk2024-10-25 20:57 2.4K 
[   ]sxcs-1.1.0-r0.apk2024-10-25 20:57 9.2K 
[   ]swi-prolog-xpce-doc-9.2.9-r0.apk2024-12-21 10:09 1.0M 
[   ]swi-prolog-xpce-9.2.9-r0.apk2024-12-21 10:09 922K 
[   ]swi-prolog-pyc-9.2.9-r0.apk2024-12-21 10:09 22K 
[   ]swi-prolog-doc-9.2.9-r0.apk2024-12-21 10:09 2.1M 
[   ]swi-prolog-9.2.9-r0.apk2024-12-21 10:09 5.1M 
[   ]swhkd-doc-1.2.1-r0.apk2024-10-25 20:57 5.9K 
[   ]swhkd-1.2.1-r0.apk2024-10-25 20:57 1.1M 
[   ]swayhide-0.2.1-r2.apk2024-10-25 20:57 279K 
[   ]sway-audio-idle-inhibit-0.1.2-r0.apk2024-10-25 20:57 10K 
[   ]swappy-lang-1.7.1-r0.apk2025-08-21 23:30 3.5K 
[   ]swappy-doc-1.7.1-r0.apk2025-08-21 23:30 3.6K 
[   ]swappy-1.7.1-r0.apk2025-08-21 23:30 30K 
[   ]swaks-doc-20240103.0-r0.apk2024-10-25 20:57 49K 
[   ]swaks-20240103.0-r0.apk2024-10-25 20:57 66K 
[   ]svls-doc-0.2.12-r0.apk2024-10-25 20:57 2.0K 
[   ]svls-0.2.12-r0.apk2024-10-25 20:57 3.7M 
[   ]svgbob-0.7.6-r0.apk2025-02-01 19:56 499K 
[   ]suru-icon-theme-2025.05.0-r0.apk2025-05-14 17:30 2.9M 
[   ]surfraw-doc-2.3.0-r0.apk2024-10-25 20:57 17K 
[   ]surfraw-2.3.0-r0.apk2024-10-25 20:57 78K 
[   ]surf-doc-2.1-r3.apk2024-10-25 20:57 4.4K 
[   ]surf-2.1-r3.apk2024-10-25 20:57 23K 
[   ]supersonik-0.1.0-r2.apk2025-04-13 13:35 1.1M 
[   ]supermin-doc-5.2.2-r2.apk2024-10-25 20:57 9.1K 
[   ]supermin-5.2.2-r2.apk2024-10-25 20:57 536K 
[   ]sudo-ldap-1.9.17_p1-r0.apk2025-07-01 18:58 745K 
[   ]subliminal-pyc-2.2.1-r1.apk2025-05-14 18:20 134K 
[   ]subliminal-2.2.1-r1.apk2025-05-14 18:20 68K 
[   ]stw-doc-0.3-r0.apk2024-10-25 20:57 2.3K 
[   ]stw-0.3-r0.apk2024-10-25 20:57 8.0K 
[   ]stone-soup-0.32.1-r0.apk2024-10-25 20:57 33M 
[   ]stockfish-17-r0.apk2025-03-03 22:44 62M 
[   ]sthttpd-openrc-2.27.1-r2.apk2024-10-25 20:56 1.7K 
[   ]sthttpd-doc-2.27.1-r2.apk2024-10-25 20:56 18K 
[   ]sthttpd-2.27.1-r2.apk2024-10-25 20:56 64K 
[   ]stgit-zsh-completion-2.4.7-r1.apk2024-10-25 20:56 23K 
[   ]stgit-vim-2.4.7-r1.apk2024-10-25 20:56 3.2K 
[   ]stgit-fish-completion-2.4.7-r1.apk2024-10-25 20:56 11K 
[   ]stgit-emacs-2.4.7-r1.apk2024-10-25 20:56 27K 
[   ]stgit-doc-2.4.7-r1.apk2024-10-25 20:56 126K 
[   ]stgit-bash-completion-2.4.7-r1.apk2024-10-25 20:56 17K 
[   ]stgit-2.4.7-r1.apk2024-10-25 20:56 2.0M 
[   ]stern-zsh-completion-1.32.0-r8.apk2025-10-08 22:27 3.8K 
[   ]stern-fish-completion-1.32.0-r8.apk2025-10-08 22:27 4.1K 
[   ]stern-bash-completion-1.32.0-r8.apk2025-10-08 22:27 5.6K 
[   ]stern-1.32.0-r8.apk2025-10-08 22:27 17M 
[   ]steghide-doc-0.5.1.1-r0.apk2024-10-25 20:56 13K 
[   ]steghide-0.5.1.1-r0.apk2024-10-25 20:56 157K 
[   ]stayrtr-openrc-0.6.2-r8.apk2025-10-08 22:27 1.7K 
[   ]stayrtr-0.6.2-r8.apk2025-10-08 22:27 10M 
[   ]startup-tools-2.0.3-r5.apk2024-10-25 20:56 13K 
[   ]startup-lang-2.0.3-r5.apk2024-10-25 20:56 16K 
[   ]startup-fish-completion-2.0.3-r5.apk2024-10-25 20:56 5.1K 
[   ]startup-doc-2.0.3-r5.apk2024-10-25 20:56 47K 
[   ]startup-dev-2.0.3-r5.apk2024-10-25 20:56 5.6K 
[   ]startup-bridge-udev-2.0.3-r5.apk2024-10-25 20:56 29K 
[   ]startup-bridge-dconf-2.0.3-r5.apk2024-10-25 20:56 29K 
[   ]startup-2.0.3-r5.apk2024-10-25 20:56 403K 
[   ]starfighter-doc-2.4-r0.apk2024-10-25 20:56 21K 
[   ]starfighter-2.4-r0.apk2024-10-25 20:56 48M 
[   ]stam-0.12.2-r0.apk2025-10-03 08:45 1.7M 
[   ]stalwart-mail-openrc-0.13.3-r0.apk2025-09-13 13:01 1.8K 
[   ]stalwart-mail-0.13.3-r0.apk2025-09-13 13:01 16M 
[   ]stalwart-cli-0.13.3-r0.apk2025-09-13 13:01 3.3M 
[   ]stacker-doc-1.0.0-r9.apk2025-10-08 22:27 15K 
[   ]stacker-1.0.0-r9.apk2025-10-08 22:27 23M 
[   ]sstp-client-doc-1.0.20-r2.apk2025-05-30 10:28 4.6K 
[   ]sstp-client-dev-1.0.20-r2.apk2025-05-30 10:28 5.2K 
[   ]sstp-client-1.0.20-r2.apk2025-05-30 10:28 42K 
[   ]ssss-doc-0.5.7-r0.apk2024-10-25 20:56 3.3K 
[   ]ssss-0.5.7-r0.apk2024-10-25 20:56 14K 
[   ]sssd-openrc-2.11.1-r1.apk2025-08-09 12:15 1.4K 
[   ]sssd-dev-2.11.1-r1.apk2025-08-09 12:15 15K 
[   ]sssd-2.11.1-r1.apk2025-08-09 12:15 2.1M 
[   ]sshuttle-pyc-1.1.2-r0.apk2024-10-25 20:56 100K 
[   ]sshuttle-doc-1.1.2-r0.apk2024-10-25 20:56 8.2K 
[   ]sshuttle-1.1.2-r0.apk2024-10-25 20:56 62K 
[   ]sshsrv-1.0-r16.apk2025-10-08 22:27 1.0M 
[   ]sshs-4.7.2-r0.apk2025-04-23 22:31 787K 
[   ]sshm-doc-1.8.0-r0.apk2025-10-09 15:25 2.0K 
[   ]sshm-1.8.0-r0.apk2025-10-09 15:25 3.8M 
[   ]ssh-tools-1.8-r0.apk2024-10-25 20:56 25K 
[   ]ssh-honeypot-openrc-0.1.1-r1.apk2024-10-25 20:56 1.8K 
[   ]ssh-honeypot-0.1.1-r1.apk2024-10-25 20:56 8.8K 
[   ]ssdfs-tools-dev-4.09-r0.apk2024-10-25 20:56 18K 
[   ]ssdfs-tools-4.09-r0.apk2024-10-25 20:56 97K 
[   ]sregex-dev-0.0.1-r1.apk2024-10-25 20:56 29K 
[   ]sregex-0.0.1-r1.apk2024-10-25 20:56 25K 
[   ]srb2-data-2.2.15-r1.apk2025-04-10 20:33 160M 
[   ]srb2-2.2.15-r1.apk2025-04-10 20:33 1.9M 
[   ]srain-lang-1.8.1-r0.apk2025-06-26 18:43 35K 
[   ]srain-1.8.1-r0.apk2025-06-26 18:43 163K 
[   ]squeak-vm-doc-4.10.2.2614-r2.apk2025-10-09 12:30 12K 
[   ]squeak-vm-4.10.2.2614-r2.apk2025-10-09 12:30 644K 
[   ]sqruff-doc-0.25.26-r0.apk2025-04-13 20:33 8.4K 
[   ]sqruff-0.25.26-r0.apk2025-04-13 20:33 2.3M 
[   ]sqm-scripts-1.6.0-r0.apk2024-10-25 20:56 20K 
[   ]sqlmap-pyc-1.9.9-r0.apk2025-09-03 21:56 1.2M 
[   ]sqlmap-1.9.9-r0.apk2025-09-03 21:56 6.8M 
[   ]sqliteodbc-0.99991-r0.apk2024-10-25 20:56 93K 
[   ]sqlar-doc-0_git20180107-r1.apk2024-10-25 20:56 3.0K 
[   ]sqlar-0_git20180107-r1.apk2024-10-25 20:56 14K 
[   ]sq-zsh-completion-0.48.5-r9.apk2025-10-08 22:27 3.8K 
[   ]sq-fish-completion-0.48.5-r9.apk2025-10-08 22:27 4.0K 
[   ]sq-doc-0.48.5-r9.apk2025-10-08 22:27 5.6K 
[   ]sq-bash-completion-0.48.5-r9.apk2025-10-08 22:27 4.8K 
[   ]sq-0.48.5-r9.apk2025-10-08 22:27 9.9M 
[   ]spvm-thread-doc-0.003-r1.apk2025-06-30 09:24 5.7K 
[   ]spvm-thread-0.003-r1.apk2025-06-30 09:24 15K 
[   ]spvm-mime-base64-doc-1.003-r1.apk2025-06-30 09:24 5.2K 
[   ]spvm-mime-base64-1.003-r1.apk2025-06-30 09:24 18K 
[   ]spvm-math-doc-1.006-r1.apk2025-06-30 09:24 6.7K 
[   ]spvm-math-1.006-r1.apk2025-06-30 09:24 21K 
[   ]spvm-errno-doc-0.093-r1.apk2025-06-30 09:24 5.7K 
[   ]spvm-errno-0.093-r1.apk2025-06-30 09:24 18K 
[   ]spreadtrum_flash-1.20240815-r0.apk2025-05-08 12:40 43K 
[   ]spread-sheet-widget-doc-0.10-r0.apk2024-10-25 20:56 4.4K 
[   ]spread-sheet-widget-dev-0.10-r0.apk2024-10-25 20:56 359K 
[   ]spread-sheet-widget-dbg-0.10-r0.apk2024-10-25 20:56 191K 
[   ]spread-sheet-widget-0.10-r0.apk2024-10-25 20:56 48K 
[   ]spotify-tui-0.25.0-r2.apk2024-10-25 20:56 1.9M 
[   ]spotify-player-0.20.4-r0.apk2025-03-02 16:49 4.5M 
[   ]spnavcfg-1.1-r0.apk2024-10-25 20:56 37K 
[   ]spiritvnc-0.6.5-r0.apk2024-11-03 05:10 49K 
[   ]spiped-1.6.2-r1.apk2024-10-25 20:56 71K 
[   ]spin-doc-6.5.2-r1.apk2024-10-25 20:56 5.6K 
[   ]spin-6.5.2-r1.apk2024-10-25 20:56 368K 
[   ]spike-1.1.0-r0.apk2024-10-25 20:56 1.5M 
[   ]spice-html5-0.3.0-r1.apk2024-10-25 20:56 438K 
[   ]speedtest_exporter-openrc-0.3.2-r19.apk2025-10-08 22:27 1.6K 
[   ]speedtest_exporter-0.3.2-r19.apk2025-10-08 22:27 3.8M 
[   ]speedtest-go-openrc-1.1.5-r19.apk2025-10-08 22:26 1.5K 
[   ]speedtest-go-doc-1.1.5-r19.apk2025-10-08 22:26 4.3K 
[   ]speedtest-go-1.1.5-r19.apk2025-10-08 22:26 5.3M 
[   ]speedtest-examples-5.2.5-r1.apk2024-10-25 20:56 13K 
[   ]speedtest-doc-5.2.5-r1.apk2024-10-25 20:56 18K 
[   ]speedtest-5.2.5-r1.apk2024-10-25 20:56 253K 
[   ]speedcrunch-0.12-r3.apk2024-10-25 20:56 1.2M 
[   ]spark-2.8.3-r1.apk2024-10-25 20:56 29M 
[   ]spampd-openrc-2.62-r0.apk2025-02-25 22:32 1.7K 
[   ]spampd-2.62-r0.apk2025-02-25 22:32 38K 
[   ]spacer-doc-0.5.0-r0.apk2025-08-31 02:53 2.8K 
[   ]spacer-0.5.0-r0.apk2025-08-31 02:53 1.0M 
[   ]spacenavd-1.2-r0.apk2024-10-25 20:56 34K 
[   ]spacectl-zsh-completion-1.12.0-r5.apk2025-10-08 22:26 1.6K 
[   ]spacectl-fish-completion-1.12.0-r5.apk2025-10-08 22:26 6.8K 
[   ]spacectl-doc-1.12.0-r5.apk2025-10-08 22:26 2.0K 
[   ]spacectl-bash-completion-1.12.0-r5.apk2025-10-08 22:26 1.8K 
[   ]spacectl-1.12.0-r5.apk2025-10-08 22:26 5.5M 
[   ]soundconverter-pyc-4.1.1-r0.apk2025-07-29 13:13 76K 
[   ]soundconverter-lang-4.1.1-r0.apk2025-07-29 13:13 107K 
[   ]soundconverter-doc-4.1.1-r0.apk2025-07-29 13:13 4.5K 
[   ]soundconverter-4.1.1-r0.apk2025-07-29 13:13 164K 
[   ]sos-0.8-r35.apk2025-10-08 22:26 2.7M 
[   ]sopwith-doc-2.5.0-r0.apk2024-10-25 20:56 15K 
[   ]sopwith-2.5.0-r0.apk2024-10-25 20:56 51K 
[   ]sonicradio-0.8.4-r1.apk2025-10-08 22:26 3.1M 
[   ]somebar-doc-1.0.3-r0.apk2024-10-25 20:56 2.2K 
[   ]somebar-1.0.3-r0.apk2024-10-25 20:56 49K 
[   ]solanum-lang-6.0.0-r0.apk2025-04-10 13:01 47K 
[   ]solanum-6.0.0-r0.apk2025-04-10 13:01 275K 
[   ]soapy-sdr-remote-openrc-0.5.2-r1.apk2024-10-25 20:56 1.5K 
[   ]soapy-sdr-remote-doc-0.5.2-r1.apk2024-10-25 20:56 2.1K 
[   ]soapy-sdr-remote-0.5.2-r1.apk2024-10-25 20:56 204K 
[   ]soapy-hackrf-0.3.4-r2.apk2024-10-25 20:56 30K 
[   ]soapy-bladerf-0.4.2-r0.apk2024-12-31 01:44 49K 
[   ]so-0.4.10-r0.apk2024-10-25 20:56 2.1M 
[   ]snore-doc-0.3.1-r0.apk2024-10-25 20:56 2.8K 
[   ]snore-0.3.1-r0.apk2024-10-25 20:56 4.6K 
[   ]snippets-ls-0.0.4_git20240617-r9.apk2025-10-08 22:26 1.3M 
[   ]snikket-sdk-0_git20250612-r1.apk2025-08-20 07:20 3.4M 
[   ]sndfile-tools-doc-1.5-r1.apk2024-10-25 20:56 361K 
[   ]sndfile-tools-1.5-r1.apk2024-10-25 20:56 49K 
[   ]snapraid-doc-12.4-r0.apk2025-05-14 17:30 17K 
[   ]snapraid-12.4-r0.apk2025-05-14 17:30 261K 
[   ]snapper-zsh-completion-0.13.0-r0.apk2025-10-03 08:45 3.3K 
[   ]snapper-lang-0.13.0-r0.apk2025-10-03 08:45 219K 
[   ]snapper-doc-0.13.0-r0.apk2025-10-03 08:45 25K 
[   ]snapper-dev-0.13.0-r0.apk2025-10-03 08:45 10K 
[   ]snapper-bash-completion-0.13.0-r0.apk2025-10-03 08:45 3.1K 
[   ]snapper-0.13.0-r0.apk2025-10-03 08:45 1.1M 
[   ]smplxmpp-doc-0.9.3-r4.apk2024-12-18 12:23 25K 
[   ]smplxmpp-0.9.3-r4.apk2024-12-18 12:23 156K 
[   ]smile-lang-2.10.2-r0.apk2025-09-09 21:21 28K 
[   ]smile-2.10.2-r0.apk2025-09-09 21:21 863K 
[   ]smassh-pyc-3.1.6-r0.apk2024-11-21 02:57 70K 
[   ]smassh-3.1.6-r0.apk2024-11-21 02:57 72K 
[   ]slurm-doc-0.4.4-r0.apk2024-10-25 20:56 2.3K 
[   ]slurm-0.4.4-r0.apk2024-10-25 20:56 15K 
[   ]sloccount-doc-2.26-r3.apk2024-10-25 20:56 59K 
[   ]sloccount-2.26-r3.apk2024-10-25 20:56 72K 
[   ]slidge-pyc-0.1.3-r0.apk2024-10-25 20:56 285K 
[   ]slidge-openrc-0.1.3-r0.apk2024-10-25 20:56 2.1K 
[   ]slidge-matridge-pyc-0.1.0-r0.apk2024-10-25 20:56 38K 
[   ]slidge-matridge-openrc-0.1.0-r0.apk2024-10-25 20:56 1.3K 
[   ]slidge-matridge-0.1.0-r0.apk2024-10-25 20:56 29K 
[   ]slidge-doc-0.1.3-r0.apk2024-10-25 20:56 4.3K 
[   ]slidge-0.1.3-r0.apk2024-10-25 20:56 144K 
[   ]skint-0.6.5-r0.apk2025-10-03 08:45 525K 
[   ]sish-openrc-2.16.1-r9.apk2025-10-08 22:26 1.7K 
[   ]sish-2.16.1-r9.apk2025-10-08 22:26 7.8M 
[   ]sipgrep-2.2.0-r1.apk2024-10-25 20:56 26K 
[   ]sipexer-1.2.0-r7.apk2025-10-08 22:26 2.7M 
[   ]singular-static-4.4.1_p2-r0.apk2025-07-02 17:26 7.0M 
[   ]singular-emacs-4.4.1_p2-r0.apk2025-07-02 17:26 101K 
[   ]singular-doc-4.4.1_p2-r0.apk2025-07-02 17:26 1.3M 
[   ]singular-dev-4.4.1_p2-r0.apk2025-07-02 17:26 359K 
[   ]singular-4.4.1_p2-r0.apk2025-07-02 17:26 11M 
[   ]simpleble-dev-0.10.3-r0.apk2025-07-11 02:59 28K 
[   ]simpleble-0.10.3-r0.apk2025-07-11 02:59 1.0K 
[   ]simp1e-cursors-zenburn-left-0_git20250312-r0.apk2025-03-13 12:28 540K 
[   ]simp1e-cursors-zenburn-0_git20250312-r0.apk2025-03-13 12:28 529K 
[   ]simp1e-cursors-tokyo-night-storm-left-0_git20250312-r0.apk2025-03-13 12:28 545K 
[   ]simp1e-cursors-tokyo-night-storm-0_git20250312-r0.apk2025-03-13 12:28 534K 
[   ]simp1e-cursors-tokyo-night-light-left-0_git20250312-r0.apk2025-03-13 12:28 527K 
[   ]simp1e-cursors-tokyo-night-light-0_git20250312-r0.apk2025-03-13 12:28 520K 
[   ]simp1e-cursors-tokyo-night-left-0_git20250312-r0.apk2025-03-13 12:28 541K 
[   ]simp1e-cursors-tokyo-night-0_git20250312-r0.apk2025-03-13 12:28 530K 
[   ]simp1e-cursors-solarized-light-left-0_git20250312-r0.apk2025-03-13 12:28 542K 
[   ]simp1e-cursors-solarized-light-0_git20250312-r0.apk2025-03-13 12:28 531K 
[   ]simp1e-cursors-solarized-dark-left-0_git20250312-r0.apk2025-03-13 12:28 535K 
[   ]simp1e-cursors-solarized-dark-0_git20250312-r0.apk2025-03-13 12:28 523K 
[   ]simp1e-cursors-rose-pine-moon-left-0_git20250312-r0.apk2025-03-13 12:28 550K 
[   ]simp1e-cursors-rose-pine-moon-0_git20250312-r0.apk2025-03-13 12:28 538K 
[   ]simp1e-cursors-rose-pine-left-0_git20250312-r0.apk2025-03-13 12:28 545K 
[   ]simp1e-cursors-rose-pine-dawn-left-0_git20250312-r0.apk2025-03-13 12:28 550K 
[   ]simp1e-cursors-rose-pine-dawn-0_git20250312-r0.apk2025-03-13 12:28 538K 
[   ]simp1e-cursors-rose-pine-0_git20250312-r0.apk2025-03-13 12:28 533K 
[   ]simp1e-cursors-nord-light-left-0_git20250312-r0.apk2025-03-13 12:28 524K 
[   ]simp1e-cursors-nord-light-0_git20250312-r0.apk2025-03-13 12:28 518K 
[   ]simp1e-cursors-nord-dark-left-0_git20250312-r0.apk2025-03-13 12:28 548K 
[   ]simp1e-cursors-nord-dark-0_git20250312-r0.apk2025-03-13 12:28 535K 
[   ]simp1e-cursors-mix-light-left-0_git20250312-r0.apk2025-03-13 12:28 451K 
[   ]simp1e-cursors-mix-light-0_git20250312-r0.apk2025-03-13 12:28 449K 
[   ]simp1e-cursors-mix-dark-left-0_git20250312-r0.apk2025-03-13 12:28 492K 
[   ]simp1e-cursors-mix-dark-0_git20250312-r0.apk2025-03-13 12:28 486K 
[   ]simp1e-cursors-left-0_git20250312-r0.apk2025-03-13 12:28 448K 
[   ]simp1e-cursors-gruvbox-light-left-0_git20250312-r0.apk2025-03-13 12:28 526K 
[   ]simp1e-cursors-gruvbox-light-0_git20250312-r0.apk2025-03-13 12:28 517K 
[   ]simp1e-cursors-gruvbox-dark-left-0_git20250312-r0.apk2025-03-13 12:28 537K 
[   ]simp1e-cursors-gruvbox-dark-0_git20250312-r0.apk2025-03-13 12:28 525K 
[   ]simp1e-cursors-doc-0_git20250312-r0.apk2025-03-13 12:28 14K 
[   ]simp1e-cursors-dark-left-0_git20250312-r0.apk2025-03-13 12:28 489K 
[   ]simp1e-cursors-dark-0_git20250312-r0.apk2025-03-13 12:28 484K 
[   ]simp1e-cursors-catppuccin-mocha-left-0_git20250312-r0.apk2025-03-13 12:28 540K 
[   ]simp1e-cursors-catppuccin-mocha-0_git20250312-r0.apk2025-03-13 12:28 530K 
[   ]simp1e-cursors-catppuccin-macchiato-left-0_git20250312-r0.apk2025-03-13 12:28 544K 
[   ]simp1e-cursors-catppuccin-macchiato-0_git20250312-r0.apk2025-03-13 12:28 532K 
[   ]simp1e-cursors-catppuccin-latte-left-0_git20250312-r0.apk2025-03-13 12:28 537K 
[   ]simp1e-cursors-catppuccin-latte-0_git20250312-r0.apk2025-03-13 12:28 527K 
[   ]simp1e-cursors-catppuccin-frappe-left-0_git20250312-r0.apk2025-03-13 12:28 547K 
[   ]simp1e-cursors-catppuccin-frappe-0_git20250312-r0.apk2025-03-13 12:28 535K 
[   ]simp1e-cursors-breeze-left-0_git20250312-r0.apk2025-03-13 12:28 509K 
[   ]simp1e-cursors-breeze-dark-left-0_git20250312-r0.apk2025-03-13 12:28 526K 
[   ]simp1e-cursors-breeze-dark-0_git20250312-r0.apk2025-03-13 12:28 520K 
[   ]simp1e-cursors-breeze-0_git20250312-r0.apk2025-03-13 12:28 504K 
[   ]simp1e-cursors-adw-left-0_git20250312-r0.apk2025-03-13 12:28 483K 
[   ]simp1e-cursors-adw-dark-left-0_git20250312-r0.apk2025-03-13 12:28 485K 
[   ]simp1e-cursors-adw-dark-0_git20250312-r0.apk2025-03-13 12:28 482K 
[   ]simp1e-cursors-adw-0_git20250312-r0.apk2025-03-13 12:28 478K 
[   ]simp1e-cursors-0_git20250312-r0.apk2025-03-13 12:28 446K 
[   ]simh-3.11.1-r1.apk2024-10-25 20:56 3.6M 
[   ]simgear-dev-2024.1.1-r0.apk2025-03-05 00:21 404K 
[   ]simgear-2024.1.1-r0.apk2025-03-05 00:21 2.3M 
[   ]simavr-dev-1.7-r1.apk2024-10-25 20:56 807K 
[   ]simavr-1.7-r1.apk2024-10-25 20:56 104K 
[   ]silc-client-doc-1.1.11-r18.apk2025-06-30 09:24 82K 
[   ]silc-client-1.1.11-r18.apk2025-06-30 09:24 918K 
[   ]sigrok-firmware-fx2lafw-bin-0.1.7-r0.apk2024-10-25 20:56 13K 
[   ]sigrok-cli-doc-0.7.2-r0.apk2024-10-25 20:56 8.0K 
[   ]sigrok-cli-0.7.2-r0.apk2024-10-25 20:56 40K 
[   ]sigma-pyc-0.23.1-r1.apk2024-10-25 20:56 340K 
[   ]sigma-0.23.1-r1.apk2024-10-25 20:56 237K 
[   ]shutdown-clear-machine-id-1.0.0-r0.apk2024-10-25 20:56 1.5K 
[   ]shntool-doc-3.0.10-r5.apk2025-02-24 21:25 10K 
[   ]shntool-3.0.10-r5.apk2025-02-24 21:25 59K 
[   ]shipments-0.3.0-r0.apk2024-10-25 20:56 23K 
[   ]shine-3.1.1-r0.apk2024-10-25 20:56 66K 
[   ]shfm-doc-0.4.2-r1.apk2024-10-25 20:56 5.9K 
[   ]shfm-0.4.2-r1.apk2024-10-25 20:56 3.8K 
[   ]shellinabox-openrc-2.21-r3.apk2024-10-25 20:56 3.3K 
[   ]shellinabox-doc-2.21-r3.apk2024-10-25 20:56 19K 
[   ]shellinabox-2.21-r3.apk2024-10-25 20:56 119K 
[   ]shc-4.0.3-r2.apk2024-10-25 20:56 17K 
[   ]shadowsocks-libev-doc-3.3.5-r4.apk2024-10-25 20:56 28K 
[   ]shadowsocks-libev-dev-3.3.5-r4.apk2024-10-25 20:56 3.2K 
[   ]shadowsocks-libev-3.3.5-r4.apk2024-10-25 20:56 219K 
[   ]sgt-puzzles-0_git20230310-r2.apk2024-10-25 20:56 2.8M 
[   ]sfwbar-doc-1.0_beta161-r0.apk2025-07-31 13:29 26K 
[   ]sfwbar-1.0_beta161-r0.apk2025-07-31 13:29 300K 
[   ]sflowtool-doc-6.02-r0.apk2024-10-25 20:56 9.1K 
[   ]sflowtool-6.02-r0.apk2024-10-25 20:56 41K 
[   ]setroot-doc-2.0.2-r1.apk2024-10-25 20:56 4.2K 
[   ]setroot-2.0.2-r1.apk2024-10-25 20:56 12K 
[   ]serie-doc-0.5.1-r0.apk2025-10-03 08:45 7.6K 
[   ]serie-0.5.1-r0.apk2025-10-03 08:45 913K 
[   ]serialdv-libs-1.1.4-r1.apk2025-02-08 23:41 72K 
[   ]serialdv-dev-1.1.4-r1.apk2025-02-08 23:41 4.9K 
[   ]serialdv-1.1.4-r1.apk2025-02-08 23:41 6.8K 
[   ]sentinel-proxy-openrc-2.1.0-r1.apk2025-06-13 14:15 2.0K 
[   ]sentinel-proxy-dev-2.1.0-r1.apk2025-06-13 14:15 4.3K 
[   ]sentinel-proxy-2.1.0-r1.apk2025-06-13 14:15 45K 
[   ]seed7-vim-05.20240322-r0.apk2024-10-25 20:56 3.8K 
[   ]seed7-nano-05.20240322-r0.apk2024-10-25 20:56 2.2K 
[   ]seed7-doc-05.20240322-r0.apk2024-10-25 20:56 1.6M 
[   ]seed7-05.20240322-r0.apk2024-10-25 20:56 9.8M 
[   ]sedutil-doc-1.15.1-r1.apk2024-10-25 20:56 2.8K 
[   ]sedutil-1.15.1-r1.apk2024-10-25 20:56 184K 
[   ]secsipidx-libs-1.3.2-r16.apk2025-10-08 22:26 2.4M 
[   ]secsipidx-dev-1.3.2-r16.apk2025-10-08 22:26 5.4M 
[   ]secsipidx-1.3.2-r16.apk2025-10-08 22:26 2.7M 
[   ]sdparm-doc-1.12-r1.apk2024-10-25 20:56 19K 
[   ]sdparm-1.12-r1.apk2024-10-25 20:56 148K 
[   ]sdl3_image-doc-3.2.4-r0.apk2025-03-24 20:40 1.8K 
[   ]sdl3_image-dev-3.2.4-r0.apk2025-03-24 20:40 12K 
[   ]sdl3_image-3.2.4-r0.apk2025-03-24 20:40 80K 
[   ]sct-2018.12.18-r1.apk2024-10-25 20:56 4.2K 
[   ]screenkey-pyc-1.5-r7.apk2025-07-22 20:46 73K 
[   ]screenkey-doc-1.5-r7.apk2025-07-22 20:46 11K 
[   ]screenkey-1.5-r7.apk2025-07-22 20:46 76K 
[   ]scooter-doc-0.6.0-r0.apk2025-10-03 08:45 2.0K 
[   ]scooter-0.6.0-r0.apk2025-10-03 08:45 1.8M 
[   ]scooper-doc-1.3-r1.apk2024-10-25 20:56 2.3K 
[   ]scooper-1.3-r1.apk2024-10-25 20:56 531K 
[   ]schismtracker-doc-20231029-r0.apk2024-10-25 20:56 6.0K 
[   ]schismtracker-20231029-r0.apk2024-10-25 20:56 393K 
[   ]scap-workbench-doc-1.2.1-r3.apk2024-10-25 20:56 1.6M 
[   ]scap-workbench-1.2.1-r3.apk2024-10-25 20:56 235K 
[   ]scalingo-1.30.0-r14.apk2025-10-08 22:26 5.2M 
[   ]sc-controller-pyc-0.5.3-r0.apk2025-10-03 08:45 814K 
[   ]sc-controller-0.5.3-r0.apk2025-10-03 08:45 1.3M 
[   ]sblim-wbemcli-doc-1.6.3-r1.apk2024-10-25 20:56 4.3K 
[   ]sblim-wbemcli-1.6.3-r1.apk2024-10-25 20:56 100K 
[   ]sblim-sfcc-doc-2.2.8-r3.apk2024-10-25 20:56 34K 
[   ]sblim-sfcc-dev-2.2.8-r3.apk2024-10-25 20:56 22K 
[   ]sblim-sfcc-2.2.8-r3.apk2024-10-25 20:56 57K 
[   ]sblg-doc-0.5.11-r0.apk2024-10-25 20:56 1.3M 
[   ]sblg-0.5.11-r0.apk2024-10-25 20:56 40K 
[   ]sbase-doc-0_git20210730-r3.apk2024-10-25 20:56 58K 
[   ]sbase-0_git20210730-r3.apk2024-10-25 20:56 126K 
[   ]sauerbraten-2020.12.29-r4.apk2025-02-20 18:32 934M 
[   ]satellite-openrc-1.0.0-r32.apk2025-10-08 22:26 1.6K 
[   ]satellite-doc-1.0.0-r32.apk2025-10-08 22:26 2.8K 
[   ]satellite-1.0.0-r32.apk2025-10-08 22:26 2.1M 
[   ]sane-backend-hpaio-3.25.6-r0.apk2025-10-03 08:45 82K 
[   ]sandbar-0.1-r1.apk2025-05-14 18:20 15K 
[   ]sacc-doc-1.07-r1.apk2025-09-12 22:24 2.6K 
[   ]sacc-1.07-r1.apk2025-09-12 22:24 16K 
[   ]saait-doc-0.8-r0.apk2024-10-25 20:54 12K 
[   ]saait-0.8-r0.apk2024-10-25 20:54 7.4K 
[   ]s5cmd-2.3.0-r7.apk2025-10-08 22:26 4.8M 
[   ]s-postgray-doc-0.8.3-r0.apk2024-10-25 20:54 9.3K 
[   ]s-postgray-0.8.3-r0.apk2024-10-25 20:54 50K 
[   ]s-dkim-sign-doc-0.6.2-r0.apk2024-10-25 20:54 8.3K 
[   ]s-dkim-sign-0.6.2-r0.apk2024-10-25 20:54 62K 
[   ]rygel-lang-45.0-r0.apk2025-09-14 14:55 583K 
[   ]rygel-doc-45.0-r0.apk2025-09-14 14:55 9.4K 
[   ]rygel-dev-45.0-r0.apk2025-09-14 14:55 42K 
[   ]rygel-45.0-r0.apk2025-09-14 14:55 817K 
[   ]ry-zsh-completion-0.5.2-r1.apk2024-10-25 20:54 2.0K 
[   ]ry-bash-completion-0.5.2-r1.apk2024-10-25 20:54 1.7K 
[   ]ry-0.5.2-r1.apk2024-10-25 20:54 4.4K 
[   ]rvlprog-0.91-r2.apk2024-10-25 20:54 29K 
[   ]ruuvi-prometheus-openrc-0.1.9-r6.apk2025-10-08 22:26 1.4K 
[   ]ruuvi-prometheus-0.1.9-r6.apk2025-10-08 22:26 3.2M 
[   ]rustscan-2.3.0-r0.apk2024-10-25 20:54 1.4M 
[   ]rustical-0.9.10-r0.apk2025-10-06 03:40 4.5M 
[   ]rustic-zsh-completion-0.9.3-r0.apk2024-10-25 20:54 13K 
[   ]rustic-fish-completion-0.9.3-r0.apk2024-10-25 20:54 16K 
[   ]rustic-bash-completion-0.9.3-r0.apk2024-10-25 20:54 8.4K 
[   ]rustic-0.9.3-r0.apk2024-10-25 20:54 6.2M 
[   ]rust-script-0.36.0-r0.apk2025-09-06 17:18 932K 
[   ]ruri-doc-3.9.2-r0.apk2025-09-12 11:27 2.0K 
[   ]ruri-3.9.2-r0.apk2025-09-12 11:27 116K 
[   ]runst-doc-0.1.7-r0.apk2024-10-25 20:54 7.5K 
[   ]runst-0.1.7-r0.apk2024-10-25 20:54 1.6M 
[   ]ruff-lsp-pyc-0.0.62-r0.apk2025-03-06 22:31 35K 
[   ]ruff-lsp-0.0.62-r0.apk2025-03-06 22:31 21K 
[   ]ruby-ruby-progressbar-1.13.0-r0.apk2025-07-06 19:18 25K 
[   ]ruby-path_expander-1.1.3-r0.apk2025-07-06 19:18 6.2K 
[   ]ruby-minitest-server-1.0.8-r0.apk2025-07-06 19:18 5.1K 
[   ]ruby-minitest-reporters-1.7.1-r0.apk2025-07-06 19:18 20K 
[   ]ruby-minitest-proveit-1.0.0-r0.apk2025-07-06 19:18 4.5K 
[   ]ruby-minitest-power_assert-0.3.1-r0.apk2025-07-06 19:18 2.0K 
[   ]ruby-minitest-focus-1.3.1-r0.apk2025-07-06 19:18 4.9K 
[   ]ruby-minitest-autotest-1.1.1-r0.apk2025-07-06 19:18 14K 
[   ]ruby-mail-2.8.1-r0.apk2025-09-06 17:18 394K 
[   ]ruby-libguestfs-1.56.1-r0.apk2025-07-22 22:10 109K 
[   ]ruby-hoe-4.2.3-r0.apk2025-07-28 02:06 27K 
[   ]ruby-hashdiff-doc-1.1.1-r1.apk2025-04-23 20:24 2.0K 
[   ]ruby-hashdiff-1.1.1-r1.apk2025-04-23 20:24 8.2K 
[   ]ruby-fast_gettext-3.1.0-r0.apk2025-05-25 23:59 22K 
[   ]ruby-facter-4.10.0-r0.apk2025-07-28 02:05 218K 
[   ]ruby-event_emitter-doc-0.2.6-r0.apk2025-05-26 00:00 2.0K 
[   ]ruby-event_emitter-0.2.6-r0.apk2025-05-26 00:00 2.8K 
[   ]ruby-dry-inflector-doc-1.1.0-r1.apk2025-04-23 20:24 2.0K 
[   ]ruby-dry-inflector-1.1.0-r1.apk2025-04-23 20:24 8.0K 
[   ]ruby-crack-doc-1.0.0-r0.apk2025-10-03 08:45 2.0K 
[   ]ruby-crack-1.0.0-r0.apk2025-10-03 08:45 2.1K 
[   ]ruby-coderay-doc-1.1.3-r0.apk2025-05-25 23:57 2.0K 
[   ]ruby-coderay-1.1.3-r0.apk2025-05-25 23:57 89K 
[   ]ruby-byebug-11.1.3-r1.apk2025-08-03 13:49 129K 
[   ]ruby-build-runtime-20250925-r0.apk2025-10-03 08:45 1.0K 
[   ]ruby-build-doc-20250925-r0.apk2025-10-03 08:45 4.5K 
[   ]ruby-build-20250925-r0.apk2025-10-03 08:45 93K 
[   ]ruby-benchmark-ips-doc-2.14.0-r0.apk2025-05-25 23:55 2.0K 
[   ]ruby-benchmark-ips-2.14.0-r0.apk2025-05-25 23:55 14K 
[   ]ruby-appraisal-doc-2.5.0-r0.apk2025-05-25 23:52 2.0K 
[   ]ruby-appraisal-2.5.0-r0.apk2025-05-25 23:52 11K 
[   ]ruby-ansi-1.5.0-r0.apk2025-07-06 19:18 26K 
[   ]rtw89-src-7_p20230725-r0.apk2024-10-25 20:54 759K 
[   ]rtptools-doc-1.22-r2.apk2024-10-25 20:54 12K 
[   ]rtptools-1.22-r2.apk2024-10-25 20:54 40K 
[   ]rtmidi-dev-6.0.0-r0.apk2024-10-25 20:54 14K 
[   ]rtmidi-6.0.0-r0.apk2024-10-25 20:54 31K 
[   ]rtl88x2bu-src-5.13.1_git20230711-r0.apk2024-10-25 20:54 4.0M 
[   ]rtl-power-fftw-doc-20200601-r4.apk2024-10-25 20:54 7.9K 
[   ]rtl-power-fftw-20200601-r4.apk2024-10-25 20:54 62K 
[   ]rt6-6.0.1-r0.apk2025-08-12 08:18 12M 
[   ]rt5-5.0.8-r0.apk2025-06-15 04:45 17M 
[   ]rsstail-doc-2.2-r0.apk2025-02-13 07:17 2.5K 
[   ]rsstail-2.2-r0.apk2025-02-13 07:17 9.3K 
[   ]rss-email-doc-0.5.1-r0.apk2025-08-10 11:21 6.1K 
[   ]rss-email-0.5.1-r0.apk2025-08-10 11:21 2.3M 
[   ]rpi-imager-doc-1.9.0-r1.apk2025-07-24 08:09 2.8K 
[   ]rpi-imager-1.9.0-r1.apk2025-07-24 08:09 703K 
[   ]rpg-cli-1.2.0-r0.apk2024-10-25 20:54 639K 
[   ]rot8-doc-1.0.0-r0.apk2025-07-28 22:09 2.0K 
[   ]rot8-1.0.0-r0.apk2025-07-28 22:09 803K 
[   ]rosenpass-0.2.2-r1.apk2025-02-01 18:23 1.0M 
[   ]rosdep-pyc-0.19.0-r6.apk2024-10-25 20:54 119K 
[   ]rosdep-0.19.0-r6.apk2024-10-25 20:54 66K 
[   ]roll-doc-2.6.1-r0.apk2025-05-27 00:20 11K 
[   ]roll-bash-completion-2.6.1-r0.apk2025-05-27 00:20 1.6K 
[   ]roll-2.6.1-r0.apk2025-05-27 00:20 13K 
[   ]rofi-pass-doc-2.0.2-r2.apk2024-10-25 20:54 5.0K 
[   ]rofi-pass-2.0.2-r2.apk2024-10-25 20:54 8.8K 
[   ]rofi-json-menu-0.2.0-r1.apk2024-10-25 20:54 5.6K 
[   ]rocm-core-doc-6.4.3-r0.apk2025-08-18 11:32 2.2K 
[   ]rocm-core-dev-6.4.3-r0.apk2025-08-18 11:32 6.3K 
[   ]rocm-core-6.4.3-r0.apk2025-08-18 11:32 7.8K 
[   ]rocm-cmake-doc-6.4.3-r0.apk2025-08-18 11:32 2.2K 
[   ]rocm-cmake-6.4.3-r0.apk2025-08-18 11:32 28K 
[   ]rmlint-shredder-pyc-2.10.2-r2.apk2024-10-25 20:54 124K 
[   ]rmlint-shredder-2.10.2-r2.apk2024-10-25 20:54 96K 
[   ]rmlint-lang-2.10.2-r2.apk2024-10-25 20:54 19K 
[   ]rmlint-doc-2.10.2-r2.apk2024-10-25 20:54 18K 
[   ]rmlint-2.10.2-r2.apk2024-10-25 20:54 150K 
[   ]rke-doc-1.4.3-r19.apk2025-10-08 22:26 2.7K 
[   ]rke-1.4.3-r19.apk2025-10-08 22:26 19M 
[   ]rkdeveloptool-doc-1.1.0-r1.apk2024-10-25 20:54 2.7K 
[   ]rkdeveloptool-1.1.0-r1.apk2024-10-25 20:54 55K 
[   ]rizin-libs-0.8.1-r0.apk2025-06-30 16:51 5.7M 
[   ]rizin-doc-0.8.1-r0.apk2025-06-30 16:51 19K 
[   ]rizin-dev-0.8.1-r0.apk2025-06-30 16:51 322K 
[   ]rizin-cutter-dev-2.4.1-r0.apk2025-06-30 16:51 103K 
[   ]rizin-cutter-2.4.1-r0.apk2025-06-30 16:51 2.6M 
[   ]rizin-0.8.1-r0.apk2025-06-30 16:51 2.7M 
[   ]river-shifttags-doc-0.2.1-r1.apk2025-05-14 17:30 2.1K 
[   ]river-shifttags-0.2.1-r1.apk2025-05-14 17:30 6.4K 
[   ]river-luatile-0.1.4-r0.apk2025-05-19 12:46 268K 
[   ]ripasso-cursive-0.7.0-r0.apk2025-05-23 08:56 3.2M 
[   ]rio-terminfo-0.2.31-r0.apk2025-10-03 08:45 3.2K 
[   ]rio-doc-0.2.31-r0.apk2025-10-03 08:45 2.0K 
[   ]rio-0.2.31-r0.apk2025-10-03 08:45 11M 
[   ]rinetd-openrc-0.73-r0.apk2024-10-25 20:54 1.5K 
[   ]rinetd-doc-0.73-r0.apk2024-10-25 20:54 16K 
[   ]rinetd-0.73-r0.apk2024-10-25 20:54 15K 
[   ]riemann-cli-0.8.0-r2.apk2024-10-25 20:54 549K 
[   ]rhasspy-nlu-pyc-0.4.0-r3.apk2024-10-25 20:54 73K 
[   ]rhasspy-nlu-0.4.0-r3.apk2024-10-25 20:54 43K 
[   ]rgxg-doc-0.1.2-r2.apk2024-10-25 20:54 12K 
[   ]rgxg-dev-0.1.2-r2.apk2024-10-25 20:54 3.3K 
[   ]rgxg-0.1.2-r2.apk2024-10-25 20:54 15K 
[   ]rezolus-openrc-2.11.1-r3.apk2024-10-25 20:54 1.8K 
[   ]rezolus-doc-2.11.1-r3.apk2024-10-25 20:54 3.1K 
[   ]rezolus-2.11.1-r3.apk2024-10-25 20:54 925K 
[   ]restinio-dev-0.6.19-r1.apk2024-12-14 21:36 268K 
[   ]restinio-0.6.19-r1.apk2024-12-14 21:36 1.0K 
[   ]restic.mk-0.4.0-r0.apk2024-10-25 20:54 2.7K 
[   ]restart-services-doc-0.17.0-r0.apk2024-10-25 20:54 5.9K 
[   ]restart-services-0.17.0-r0.apk2024-10-25 20:54 12K 
[   ]responder-3.1.5.0-r0.apk2024-10-25 20:54 750K 
[   ]reprotest-pyc-0.7.30-r1.apk2025-08-09 12:15 103K 
[   ]reprotest-0.7.30-r1.apk2025-08-09 12:15 80K 
[   ]repowerd-openrc-2023.07-r3.apk2025-02-18 22:22 1.4K 
[   ]repowerd-2023.07-r3.apk2025-02-18 22:22 914K 
[   ]repo-doc-2.53-r0.apk2025-03-20 00:13 38K 
[   ]repo-2.53-r0.apk2025-03-20 00:13 17K 
[   ]repgrep-zsh-completion-0.15.0-r0.apk2024-10-25 20:54 1.4K 
[   ]repgrep-fish-completion-0.15.0-r0.apk2024-10-25 20:54 3.9K 
[   ]repgrep-doc-0.15.0-r0.apk2024-10-25 20:54 6.2K 
[   ]repgrep-bash-completion-0.15.0-r0.apk2024-10-25 20:54 1.4K 
[   ]repgrep-0.15.0-r0.apk2024-10-25 20:54 1.2M 
[   ]remind-caldav-pyc-0.8.0-r4.apk2024-10-25 20:54 5.9K 
[   ]remind-caldav-0.8.0-r4.apk2024-10-25 20:54 17K 
[   ]remco-openrc-0.12.5-r4.apk2025-10-08 22:26 1.4K 
[   ]remco-doc-0.12.5-r4.apk2025-10-08 22:26 2.0K 
[   ]remco-0.12.5-r4.apk2025-10-08 22:26 9.4M 
[   ]remake-make-1.5-r1.apk2024-10-25 20:54 1.3K 
[   ]remake-doc-1.5-r1.apk2024-10-25 20:54 201K 
[   ]remake-dev-1.5-r1.apk2024-10-25 20:54 2.7K 
[   ]remake-1.5-r1.apk2024-10-25 20:54 142K 
[   ]regclient-0.9.2-r1.apk2025-10-08 22:26 13M 
[   ]regal-zsh-completion-0.33.1-r4.apk2025-10-08 22:26 3.8K 
[   ]regal-fish-completion-0.33.1-r4.apk2025-10-08 22:26 4.1K 
[   ]regal-bash-completion-0.33.1-r4.apk2025-10-08 22:26 5.8K 
[   ]regal-0.33.1-r4.apk2025-10-08 22:26 11M 
[   ]reg-0.16.1-r32.apk2025-10-08 22:26 4.5M 
[   ]refine-lang-0.6.0-r0.apk2025-10-03 08:45 33K 
[   ]refine-0.6.0-r0.apk2025-10-03 08:45 34K 
[   ]redhat-fonts-4.1.0-r1.apk2025-08-09 12:15 809K 
[   ]recoll-doc-1.37.5-r1.apk2024-10-25 20:54 21K 
[   ]recoll-dev-1.37.5-r1.apk2024-10-25 20:54 53K 
[   ]recoll-1.37.5-r1.apk2024-10-25 20:54 2.7M 
[   ]reaver-wps-fork-t6x-1.6.6-r1.apk2024-10-25 20:54 449K 
[   ]reason-rtop-3.8.2-r1.apk2024-10-25 20:54 24M 
[   ]reason-3.8.2-r1.apk2024-10-25 20:54 20M 
[   ]reap-doc-0.2-r0.apk2025-09-13 13:04 2.4K 
[   ]reap-0.2-r0.apk2025-09-13 13:04 5.2K 
[   ]readosm-dev-1.1.0-r3.apk2025-05-14 17:30 21K 
[   ]readosm-1.1.0-r3.apk2025-05-14 17:30 15K 
[   ]reaction-tools-2.2.1-r0.apk2025-10-03 08:45 6.6K 
[   ]reaction-openrc-2.2.1-r0.apk2025-10-03 08:45 1.6K 
[   ]reaction-2.2.1-r0.apk2025-10-03 08:45 1.5M 
[   ]rdrview-doc-0.1.3-r0.apk2025-02-22 19:27 3.4K 
[   ]rdrview-0.1.3-r0.apk2025-02-22 19:27 32K 
[   ]rdedup-3.2.1-r5.apk2024-10-25 20:54 861K 
[   ]rclone-browser-1.8.0-r1.apk2024-10-25 20:54 331K 
[   ]razercfg-pyc-0.42-r7.apk2024-10-25 20:54 35K 
[   ]razercfg-openrc-0.42-r7.apk2024-10-25 20:54 1.5K 
[   ]razercfg-gui-0.42-r7.apk2024-10-25 20:54 19K 
[   ]razercfg-0.42-r7.apk2024-10-25 20:54 88K 
[   ]rauc-service-1.10.1-r0.apk2024-10-25 20:54 3.5K 
[   ]rauc-doc-1.10.1-r0.apk2024-10-25 20:54 3.9K 
[   ]rauc-1.10.1-r0.apk2024-10-25 20:54 144K 
[   ]rattler-build-zsh-completion-0.18.0-r0.apk2024-10-25 20:54 5.2K 
[   ]rattler-build-fish-completion-0.18.0-r0.apk2024-10-25 20:54 4.5K 
[   ]rattler-build-doc-0.18.0-r0.apk2024-10-25 20:54 6.5K 
[   ]rattler-build-bash-completion-0.18.0-r0.apk2024-10-25 20:54 3.4K 
[   ]rattler-build-0.18.0-r0.apk2024-10-25 20:54 6.1M 
[   ]rathole-0.5.0-r0.apk2024-10-25 20:54 1.4M 
[   ]raspberrypi-usbboot-20250227-r0.apk2025-06-09 08:55 887K 
[   ]rankwidth-static-0.9-r3.apk2024-10-25 20:54 4.8K 
[   ]rankwidth-libs-0.9-r3.apk2024-10-25 20:54 5.5K 
[   ]rankwidth-doc-0.9-r3.apk2024-10-25 20:54 2.8K 
[   ]rankwidth-dev-0.9-r3.apk2024-10-25 20:54 2.7K 
[   ]rankwidth-0.9-r3.apk2024-10-25 20:54 6.8K 
[   ]randrctl-pyc-1.10.0-r0.apk2024-11-17 21:22 31K 
[   ]randrctl-1.10.0-r0.apk2024-11-17 21:22 28K 
[   ]raku-tinyfloats-doc-0.0.5-r1.apk2025-08-29 08:25 3.8K 
[   ]raku-tinyfloats-0.0.5-r1.apk2025-08-29 08:25 35K 
[   ]raku-time-crontab-doc-1.0.0-r1.apk2025-08-29 08:25 3.1K 
[   ]raku-time-crontab-1.0.0-r1.apk2025-08-29 08:25 123K 
[   ]raku-text-markdown-doc-1.1.1_git20221121-r1.apk2025-08-29 08:25 3.2K 
[   ]raku-text-markdown-1.1.1_git20221121-r1.apk2025-08-29 08:25 105K 
[   ]raku-terminal-quickcharts-doc-0.0.2-r1.apk2025-08-29 08:25 2.8K 
[   ]raku-terminal-quickcharts-0.0.2-r1.apk2025-08-29 08:25 217K 
[   ]raku-system-query-doc-0.1.6-r1.apk2025-08-29 08:25 2.3K 
[   ]raku-system-query-0.1.6-r1.apk2025-08-29 08:25 16K 
[   ]raku-shell-command-doc-1.1-r1.apk2025-08-29 08:25 3.3K 
[   ]raku-shell-command-1.1-r1.apk2025-08-29 08:25 40K 
[   ]raku-protocol-mqtt-doc-0.0.4-r1.apk2025-08-29 08:25 2.1K 
[   ]raku-protocol-mqtt-0.0.4-r1.apk2025-08-29 08:25 224K 
[   ]raku-oo-monitors-doc-1.1.5-r1.apk2025-08-29 08:25 2.6K 
[   ]raku-oo-monitors-1.1.5-r1.apk2025-08-29 08:25 13K 
[   ]raku-nativelibs-doc-0.0.9-r1.apk2025-08-29 08:25 2.4K 
[   ]raku-nativelibs-0.0.9-r1.apk2025-08-29 08:25 86K 
[   ]raku-nativehelpers-blob-doc-0.1.12-r1.apk2025-08-29 08:25 3.1K 
[   ]raku-nativehelpers-blob-0.1.12-r1.apk2025-08-29 08:25 197K 
[   ]raku-monad-doc-0.1.2-r1.apk2025-08-29 08:25 3.1K 
[   ]raku-monad-0.1.2-r1.apk2025-08-29 08:25 3.6M 
[   ]raku-meta6-doc-0.0.30-r1.apk2025-08-29 08:25 3.0K 
[   ]raku-meta6-0.0.30-r1.apk2025-08-29 08:25 108K 
[   ]raku-log-timeline-doc-0.5.2-r1.apk2025-08-29 08:25 5.2K 
[   ]raku-log-timeline-0.5.2-r1.apk2025-08-29 08:25 280K 
[   ]raku-librarymake-doc-1.0.5-r1.apk2025-08-29 08:25 4.2K 
[   ]raku-librarymake-1.0.5-r1.apk2025-08-29 08:25 38K 
[   ]raku-json-unmarshal-doc-0.18-r1.apk2025-08-29 08:25 3.1K 
[   ]raku-json-unmarshal-0.18-r1.apk2025-08-29 08:25 72K 
[   ]raku-json-optin-doc-0.0.2-r1.apk2025-08-29 08:25 2.5K 
[   ]raku-json-optin-0.0.2-r1.apk2025-08-29 08:25 22K 
[   ]raku-json-name-doc-0.0.7-r1.apk2025-08-29 08:25 2.5K 
[   ]raku-json-name-0.0.7-r1.apk2025-08-29 08:25 37K 
[   ]raku-json-marshal-doc-0.0.25-r1.apk2025-08-29 08:25 3.4K 
[   ]raku-json-marshal-0.0.25-r1.apk2025-08-29 08:25 71K 
[   ]raku-json-jwt-doc-1.1.2-r1.apk2025-08-29 08:25 3.0K 
[   ]raku-json-jwt-1.1.2-r1.apk2025-08-29 08:25 36K 
[   ]raku-json-class-doc-0.0.21-r1.apk2025-08-29 08:25 3.1K 
[   ]raku-json-class-0.0.21-r1.apk2025-08-29 08:25 48K 
[   ]raku-io-socket-async-ssl-doc-0.8.2-r1.apk2025-08-29 08:25 5.9K 
[   ]raku-io-socket-async-ssl-0.8.2-r1.apk2025-08-29 08:25 276K 
[   ]raku-io-path-childsecure-doc-1.2-r1.apk2025-08-29 08:25 2.6K 
[   ]raku-io-path-childsecure-1.2-r1.apk2025-08-29 08:25 10K 
[   ]raku-inline-perl5-doc-0.60_git20250327-r1.apk2025-08-29 08:25 6.0K 
[   ]raku-inline-perl5-0.60_git20250327-r1.apk2025-08-29 08:25 2.1M 
[   ]raku-if-doc-0.1.3-r1.apk2025-08-29 08:25 2.4K 
[   ]raku-if-0.1.3-r1.apk2025-08-29 08:25 28K 
[   ]raku-http-hpack-doc-1.0.3-r1.apk2025-08-29 08:25 3.0K 
[   ]raku-http-hpack-1.0.3-r1.apk2025-08-29 08:25 88K 
[   ]raku-html-escape-doc-0.0.1-r1.apk2025-08-29 08:25 2.3K 
[   ]raku-html-escape-0.0.1-r1.apk2025-08-29 08:25 12K 
[   ]raku-functionalparsers-doc-0.1.10-r1.apk2025-08-29 08:25 8.5K 
[   ]raku-functionalparsers-0.1.10-r1.apk2025-08-29 08:25 1.8M 
[   ]raku-file-which-doc-1.0.4-r1.apk2025-08-29 08:25 3.3K 
[   ]raku-file-which-1.0.4-r1.apk2025-08-29 08:25 106K 
[   ]raku-file-temp-doc-0.0.12-r1.apk2025-08-29 08:25 2.6K 
[   ]raku-file-temp-0.0.12-r1.apk2025-08-29 08:25 44K 
[   ]raku-file-ignore-doc-1.2-r1.apk2025-08-29 08:25 3.9K 
[   ]raku-file-ignore-1.2-r1.apk2025-08-29 08:25 46K 
[   ]raku-file-find-doc-0.2.1-r1.apk2025-08-29 08:25 4.0K 
[   ]raku-file-find-0.2.1-r1.apk2025-08-29 08:25 17K 
[   ]raku-docker-file-doc-1.1-r1.apk2025-08-29 08:25 2.3K 
[   ]raku-docker-file-1.1-r1.apk2025-08-29 08:25 131K 
[   ]raku-distribution-builder-makefromjson-doc-0.6-r1.apk2025-08-29 08:25 2.1K 
[   ]raku-distribution-builder-makefromjson-0.6-r1.apk2025-08-29 08:25 41K 
[   ]raku-digest-sha1-native-doc-0.06-r1.apk2025-08-29 08:25 2.1K 
[   ]raku-digest-sha1-native-0.06-r1.apk2025-08-29 08:25 48K 
[   ]raku-digest-hmac-doc-1.0.6_git20231116-r1.apk2025-08-29 08:25 3.0K 
[   ]raku-digest-hmac-1.0.6_git20231116-r1.apk2025-08-29 08:25 6.9K 
[   ]raku-digest-doc-1.1.0-r1.apk2025-08-29 08:25 2.5K 
[   ]raku-digest-1.1.0-r1.apk2025-08-29 08:25 860K 
[   ]raku-dbiish-doc-0.6.7-r1.apk2025-08-29 08:25 13K 
[   ]raku-dbiish-0.6.7-r1.apk2025-08-29 08:25 1.9M 
[   ]raku-datetime-parse-doc-0.9.3-r1.apk2025-08-29 08:25 2.7K 
[   ]raku-datetime-parse-0.9.3-r1.apk2025-08-29 08:25 48K 
[   ]raku-crypt-random-doc-0.4.1-r1.apk2025-08-29 08:25 2.3K 
[   ]raku-crypt-random-0.4.1-r1.apk2025-08-29 08:25 110K 
[   ]raku-cro-websocket-doc-0.8.10-r1.apk2025-08-29 08:25 2.2K 
[   ]raku-cro-websocket-0.8.10-r1.apk2025-08-29 08:25 1.8M 
[   ]raku-cro-webapp-doc-0.10.0-r1.apk2025-08-29 08:25 2.1K 
[   ]raku-cro-webapp-0.10.0-r1.apk2025-08-29 08:25 4.5M 
[   ]raku-cro-tls-doc-0.8.10-r1.apk2025-08-29 08:25 1.9K 
[   ]raku-cro-tls-0.8.10-r1.apk2025-08-29 08:25 41K 
[   ]raku-cro-http-doc-0.8.10-r1.apk2025-08-29 08:25 2.6K 
[   ]raku-cro-http-0.8.10-r1.apk2025-08-29 08:25 5.3M 
[   ]raku-cro-doc-0.8.10-r1.apk2025-08-29 08:25 2.5K 
[   ]raku-cro-core-doc-0.8.10-r1.apk2025-08-29 08:25 2.1K 
[   ]raku-cro-core-0.8.10-r1.apk2025-08-29 08:25 780K 
[   ]raku-cro-0.8.10-r1.apk2025-08-29 08:25 2.5M 
[   ]raku-clifford-doc-7.0.1_git20250228-r1.apk2025-08-29 08:25 2.7K 
[   ]raku-clifford-7.0.1_git20250228-r1.apk2025-08-29 08:25 691K 
[   ]raku-cbor-simple-doc-0.1.4-r1.apk2025-08-29 08:25 6.7K 
[   ]raku-cbor-simple-0.1.4-r1.apk2025-08-29 08:25 174K 
[   ]raku-base64-doc-0.1.0-r1.apk2025-08-29 08:25 2.5K 
[   ]raku-base64-0.1.0-r1.apk2025-08-29 08:25 20K 
[   ]ra-multiplex-doc-0.2.5-r0.apk2025-08-12 16:08 2.2K 
[   ]ra-multiplex-0.2.5-r0.apk2025-08-12 16:08 1.0M 
[   ]r2ghidra-6.0.2-r0.apk2025-10-03 08:45 10M 
[   ]quodlibet-zsh-completion-4.7.1-r0.apk2025-10-03 08:45 2.5K 
[   ]quodlibet-pyc-4.7.1-r0.apk2025-10-03 08:45 1.8M 
[   ]quodlibet-lang-4.7.1-r0.apk2025-10-03 08:45 1.4M 
[   ]quodlibet-doc-4.7.1-r0.apk2025-10-03 08:45 8.4K 
[   ]quodlibet-bash-completion-4.7.1-r0.apk2025-10-03 08:45 4.2K 
[   ]quodlibet-4.7.1-r0.apk2025-10-03 08:45 1.0M 
[   ]queercat-1.0.0-r0.apk2024-10-25 20:54 8.0K 
[   ]quakespasm-0.96.3-r0.apk2024-10-25 20:54 560K 
[   ]qtpass-doc-1.4.0-r0.apk2024-10-25 20:54 1.9K 
[   ]qtpass-1.4.0-r0.apk2024-10-25 20:54 424K 
[   ]qtmir-dev-0.7.2_git20250407-r3.apk2025-10-03 08:45 6.4K 
[   ]qtmir-0.7.2_git20250407-r3.apk2025-10-03 08:45 540K 
[   ]qtile-pyc-0.33.0-r0.apk2025-10-03 08:45 858K 
[   ]qtile-0.33.0-r0.apk2025-10-03 08:45 469K 
[   ]qt6ct-0.9-r6.apk2025-10-05 09:53 198K 
[   ]qt5ct-dev-1.8-r0.apk2024-10-25 20:54 1.2K 
[   ]qt5ct-1.8-r0.apk2024-10-25 20:54 234K 
[   ]qt-wayland-shell-helpers-dev-0.1.1-r3.apk2024-10-25 20:54 3.7K 
[   ]qt-wayland-shell-helpers-0.1.1-r3.apk2024-10-25 20:54 13K 
[   ]qsynth-doc-1.0.2-r0.apk2024-10-25 20:54 4.1K 
[   ]qsynth-1.0.2-r0.apk2024-10-25 20:54 438K 
[   ]qstardict-doc-2.0.2-r1.apk2024-11-24 03:04 10K 
[   ]qstardict-2.0.2-r1.apk2024-11-24 03:04 456K 
[   ]qsstv-9.5.8-r2.apk2024-10-25 20:54 1.0M 
[   ]qspectrumanalyzer-pyc-2.2.0-r5.apk2024-10-25 20:54 62K 
[   ]qspectrumanalyzer-2.2.0-r5.apk2024-10-25 20:54 54K 
[   ]qqc2-suru-style-0.20230206-r1.apk2024-10-25 20:54 175K 
[   ]qperf-doc-0.4.11-r2.apk2025-05-14 17:30 5.3K 
[   ]qperf-0.4.11-r2.apk2025-05-14 17:30 32K 
[   ]qpdfview-doc-0.5-r2.apk2025-01-29 19:22 4.0K 
[   ]qpdfview-0.5-r2.apk2025-01-29 19:22 1.0M 
[   ]qoiconv-0.0.0_git20230312-r0.apk2024-10-25 20:54 31K 
[   ]qoi-dev-0.0.0_git20230312-r0.apk2024-10-25 20:54 6.6K 
[   ]qoi-0.0.0_git20230312-r0.apk2024-10-25 20:54 1.2K 
[   ]qml-box2d-0_git20180406-r0.apk2024-10-25 20:54 149K 
[   ]qmk-cli-pyc-1.1.8-r0.apk2025-06-01 16:54 22K 
[   ]qmk-cli-1.1.8-r0.apk2025-06-01 16:54 15K 
[   ]qman-doc-1.5.0-r0.apk2025-08-12 18:39 9.3K 
[   ]qman-1.5.0-r0.apk2025-08-12 18:39 66K 
[   ]qflipper-gui-1.3.3-r1.apk2024-10-25 20:54 1.1M 
[   ]qflipper-1.3.3-r1.apk2024-10-25 20:54 525K 
[   ]qdjango-dev-0.6.2-r1.apk2024-10-25 20:54 14K 
[   ]qdjango-0.6.2-r1.apk2024-10-25 20:54 105K 
[   ]qbittorrent-cli-2.2.0-r4.apk2025-10-08 22:26 5.5M 
[   ]qadwaitadecorations-0.1.7-r1.apk2025-10-05 09:53 50K 
[   ]pyradio-pyc-0.9.3.11-r0.apk2024-10-25 20:54 810K 
[   ]pyradio-doc-0.9.3.11-r0.apk2024-10-25 20:54 112K 
[   ]pyradio-0.9.3.11-r0.apk2024-10-25 20:54 871K 
[   ]pypykatz-pyc-0.6.11-r1.apk2025-05-29 12:09 721K 
[   ]pypykatz-0.6.11-r1.apk2025-05-29 12:09 315K 
[   ]pypy-tkinter-7.3.12-r0.apk2024-10-25 20:54 452K 
[   ]pypy-dev-7.3.12-r0.apk2024-10-25 20:54 78K 
[   ]pypy-bootstrap-7.3.12-r0.apk2024-10-25 20:54 16M 
[   ]pypy-7.3.12-r0.apk2024-10-25 20:54 15M 
[   ]pyonji-0.1.0-r10.apk2025-10-08 22:26 2.8M 
[   ]pympress-pyc-1.8.5-r1.apk2024-10-25 20:54 182K 
[   ]pympress-lang-1.8.5-r1.apk2024-10-25 20:54 56K 
[   ]pympress-doc-1.8.5-r1.apk2024-10-25 20:54 348K 
[   ]pympress-1.8.5-r1.apk2024-10-25 20:54 181K 
[   ]pyinfra-pyc-3.3.1-r0.apk2025-07-16 00:27 361K 
[   ]pyinfra-3.3.1-r0.apk2025-07-16 00:27 192K 
[   ]py3-zope-schema-pyc-7.0.1-r3.apk2024-10-25 20:54 61K 
[   ]py3-zope-schema-7.0.1-r3.apk2024-10-25 20:54 45K 
[   ]py3-zope-i18nmessageid-pyc-6.1.0-r2.apk2024-10-25 20:54 7.7K 
[   ]py3-zope-i18nmessageid-6.1.0-r2.apk2024-10-25 20:54 16K 
[   ]py3-zope-configuration-pyc-5.0.1-r2.apk2024-10-25 20:54 48K 
[   ]py3-zope-configuration-5.0.1-r2.apk2024-10-25 20:54 39K 
[   ]py3-zipfile2-pyc-0.0.12-r0.apk2024-10-25 20:54 29K 
[   ]py3-zipfile2-0.0.12-r0.apk2024-10-25 20:54 45K 
[   ]py3-zimscraperlib-pyc-3.4.0-r0.apk2024-11-06 09:43 68K 
[   ]py3-zimscraperlib-3.4.0-r0.apk2024-11-06 09:43 51K 
[   ]py3-youtube-search-pyc-1.6.6-r5.apk2025-05-14 18:20 95K 
[   ]py3-youtube-search-1.6.6-r5.apk2025-05-14 18:20 78K 
[   ]py3-yosys-0.42-r1.apk2025-06-12 14:19 1.6K 
[   ]py3-yara-4.5.4-r0.apk2025-08-13 15:33 19K 
[   ]py3-yapsy-pyc-1.12.2-r7.apk2024-10-25 20:54 47K 
[   ]py3-yapsy-1.12.2-r7.apk2024-10-25 20:54 32K 
[   ]py3-xsdata-pyc-25.7-r0.apk2025-07-07 20:48 392K 
[   ]py3-xsdata-25.7-r0.apk2025-07-07 20:48 189K 
[   ]py3-xlwt-pyc-1.3.0-r10.apk2025-05-14 17:30 165K 
[   ]py3-xlwt-1.3.0-r10.apk2025-05-14 17:30 93K 
[   ]py3-xdoctest-1.2.0-r0.apk2024-11-21 13:34 312K 
[   ]py3-xapp-2.4.2-r0.apk2024-11-12 11:13 33K 
[   ]py3-x-wr-timezone-pyc-2.0.1-r0.apk2025-02-09 15:14 6.8K 
[   ]py3-x-wr-timezone-2.0.1-r0.apk2025-02-09 15:14 11K 
[   ]py3-wtf-peewee-pyc-3.0.6-r0.apk2024-10-25 20:54 24K 
[   ]py3-wtf-peewee-3.0.6-r0.apk2024-10-25 20:54 12K 
[   ]py3-wstools-pyc-0.4.10-r7.apk2024-10-25 20:54 110K 
[   ]py3-wstools-0.4.10-r7.apk2024-10-25 20:54 53K 
[   ]py3-wsgiprox-pyc-1.5.2-r1.apk2024-10-25 20:54 28K 
[   ]py3-wsgiprox-1.5.2-r1.apk2024-10-25 20:54 16K 
[   ]py3-winacl-pyc-0.1.9-r1.apk2025-05-29 12:09 131K 
[   ]py3-winacl-0.1.9-r1.apk2025-05-29 12:09 82K 
[   ]py3-wikipedia-pyc-1.4.0-r0.apk2025-09-14 02:03 16K 
[   ]py3-wikipedia-doc-1.4.0-r0.apk2025-09-14 02:03 3.9K 
[   ]py3-wikipedia-1.4.0-r0.apk2025-09-14 02:03 12K 
[   ]py3-wifi-pyc-0.3.8-r7.apk2024-10-25 20:54 13K 
[   ]py3-wifi-0.3.8-r7.apk2024-10-25 20:54 13K 
[   ]py3-wgconfig-pyc-1.1.0-r0.apk2025-01-29 06:49 11K 
[   ]py3-wgconfig-1.1.0-r0.apk2025-01-29 06:49 22K 
[   ]py3-wg-netns-pyc-2.3.1-r1.apk2024-10-25 20:54 13K 
[   ]py3-wg-netns-2.3.1-r1.apk2024-10-25 20:54 7.3K 
[   ]py3-wbdata-pyc-1.0.0-r1.apk2024-10-25 20:54 19K 
[   ]py3-wbdata-1.0.0-r1.apk2024-10-25 20:54 18K 
[   ]py3-ward-pyc-0.67.0_beta0-r2.apk2024-10-25 20:54 79K 
[   ]py3-ward-0.67.0_beta0-r2.apk2024-10-25 20:54 40K 
[   ]py3-visitor-pyc-0.1.3-r7.apk2024-10-25 20:54 2.4K 
[   ]py3-visitor-0.1.3-r7.apk2024-10-25 20:54 4.4K 
[   ]py3-virtualenvwrapper-pyc-6.1.1-r0.apk2025-09-01 00:26 12K 
[   ]py3-virtualenvwrapper-6.1.1-r0.apk2025-09-01 00:26 21K 
[   ]py3-venusian-pyc-3.1.1-r0.apk2024-12-07 21:14 12K 
[   ]py3-venusian-3.1.1-r0.apk2024-12-07 21:14 14K 
[   ]py3-vdf-pyc-3.4-r1.apk2024-10-25 20:54 16K 
[   ]py3-vdf-3.4-r1.apk2024-10-25 20:54 11K 
[   ]py3-vatnumber-pyc-1.2-r9.apk2024-10-25 20:54 8.4K 
[   ]py3-vatnumber-1.2-r9.apk2024-10-25 20:54 18K 
[   ]py3-utc-pyc-0.0.3-r9.apk2024-10-25 20:54 2.5K 
[   ]py3-utc-0.0.3-r9.apk2024-10-25 20:54 3.2K 
[   ]py3-us-pyc-3.2.0-r0.apk2024-10-25 20:54 15K 
[   ]py3-us-3.2.0-r0.apk2024-10-25 20:54 14K 
[   ]py3-urlobject-pyc-2.4.3-r9.apk2024-10-25 20:54 24K 
[   ]py3-urlobject-2.4.3-r9.apk2024-10-25 20:54 14K 
[   ]py3-uptime-pyc-3.0.1-r9.apk2024-10-25 20:54 8.4K 
[   ]py3-uptime-3.0.1-r9.apk2024-10-25 20:54 9.8K 
[   ]py3-unidns-pyc-0.0.3-r0.apk2025-09-14 13:26 24K 
[   ]py3-unidns-examples-0.0.3-r0.apk2025-09-14 13:26 2.3K 
[   ]py3-unidns-0.0.3-r0.apk2025-09-14 13:26 14K 
[   ]py3-unicrypto-pyc-0.0.11-r0.apk2025-08-19 20:17 92K 
[   ]py3-unicrypto-0.0.11-r0.apk2025-08-19 20:17 59K 
[   ]py3-unearth-pyc-0.17.5-r0.apk2025-04-11 05:35 81K 
[   ]py3-unearth-0.17.5-r0.apk2025-04-11 05:35 40K 
[   ]py3-uc-micro-py-1.0.2-r1.apk2024-10-25 20:54 8.8K 
[   ]py3-uacme-desec-pyc-1.2.1-r0.apk2024-10-25 20:54 6.5K 
[   ]py3-uacme-desec-doc-1.2.1-r0.apk2024-10-25 20:54 1.9K 
[   ]py3-uacme-desec-1.2.1-r0.apk2024-10-25 20:54 5.4K 
[   ]py3-u-msgpack-pyc-2.8.0-r2.apk2024-10-25 20:54 16K 
[   ]py3-u-msgpack-2.8.0-r2.apk2024-10-25 20:54 11K 
[   ]py3-typing_inspect-pyc-0.9.0-r2.apk2024-10-25 20:54 14K 
[   ]py3-typing_inspect-0.9.0-r2.apk2024-10-25 20:54 9.7K 
[   ]py3-twiggy-pyc-0.5.1-r4.apk2024-10-25 20:54 38K 
[   ]py3-twiggy-0.5.1-r4.apk2024-10-25 20:54 24K 
[   ]py3-truststore-pyc-0.10.1-r0.apk2025-02-10 06:47 25K 
[   ]py3-truststore-0.10.1-r0.apk2025-02-10 06:47 17K 
[   ]py3-trivup-pyc-0.12.2-r2.apk2024-10-25 20:54 55K 
[   ]py3-trivup-0.12.2-r2.apk2024-10-25 20:54 34K 
[   ]py3-trimesh-pyc-3.22.1-r2.apk2024-10-25 20:54 731K 
[   ]py3-trimesh-3.22.1-r2.apk2024-10-25 20:54 629K 
[   ]py3-translationstring-pyc-1.4-r4.apk2024-10-25 20:54 8.5K 
[   ]py3-translationstring-1.4-r4.apk2024-10-25 20:54 8.9K 
[   ]py3-transitions-pyc-0.9.2-r0.apk2024-10-25 20:54 129K 
[   ]py3-transitions-0.9.2-r0.apk2024-10-25 20:54 97K 
[   ]py3-tpm2-pytss-pyc-2.3.0-r1.apk2024-10-25 20:54 236K 
[   ]py3-tpm2-pytss-2.3.0-r1.apk2024-10-25 20:54 276K 
[   ]py3-tokenizers-pyc-0.21.2-r0.apk2025-06-29 22:43 29K 
[   ]py3-tokenizers-0.21.2-r0.apk2025-06-29 22:43 1.7M 
[   ]py3-tlslite-ng-pyc-0.7.6-r8.apk2024-10-25 20:54 275K 
[   ]py3-tlslite-ng-0.7.6-r8.apk2024-10-25 20:54 178K 
[   ]py3-tls_parser-pyc-2.0.2-r0.apk2025-07-14 03:37 17K 
[   ]py3-tls_parser-2.0.2-r0.apk2025-07-14 03:37 10K 
[   ]py3-timeago-pyc-1.0.16-r0.apk2024-10-25 20:54 27K 
[   ]py3-timeago-doc-1.0.16-r0.apk2024-10-25 20:54 2.6K 
[   ]py3-timeago-1.0.16-r0.apk2024-10-25 20:54 23K 
[   ]py3-tidalapi-pyc-0.8.4-r0.apk2025-07-12 16:35 90K 
[   ]py3-tidalapi-0.8.4-r0.apk2025-07-12 16:35 50K 
[   ]py3-ticket-auth-pyc-0.1.4-r9.apk2024-10-25 20:54 6.1K 
[   ]py3-ticket-auth-0.1.4-r9.apk2024-10-25 20:54 5.7K 
[   ]py3-thefuzz-pyc-0.22.1-r1.apk2024-10-25 20:54 8.7K 
[   ]py3-thefuzz-0.22.1-r1.apk2024-10-25 20:54 9.8K 
[   ]py3-textual-pyc-3.2.0-r0.apk2025-05-14 17:30 1.2M 
[   ]py3-textual-3.2.0-r0.apk2025-05-14 17:30 596K 
[   ]py3-testresources-pyc-2.0.1-r6.apk2024-10-25 20:54 16K 
[   ]py3-testresources-2.0.1-r6.apk2024-10-25 20:54 17K 
[   ]py3-teletype-pyc-1.3.4-r3.apk2024-10-25 20:54 20K 
[   ]py3-teletype-1.3.4-r3.apk2024-10-25 20:54 15K 
[   ]py3-telemetrix-pyc-1.20-r3.apk2024-10-25 20:54 31K 
[   ]py3-telemetrix-1.20-r3.apk2024-10-25 20:54 21K 
[   ]py3-telegram-text-pyc-0.2.0-r1.apk2024-10-25 20:54 13K 
[   ]py3-telegram-text-0.2.0-r1.apk2024-10-25 20:54 8.9K 
[   ]py3-telegram-bot-pyc-22.3-r0.apk2025-08-13 15:30 781K 
[   ]py3-telegram-bot-22.3-r0.apk2025-08-13 15:30 487K 
[   ]py3-tasklib-pyc-2.5.1-r2.apk2024-10-25 20:54 51K 
[   ]py3-tasklib-2.5.1-r2.apk2024-10-25 20:54 23K 
[   ]py3-tailer-pyc-0.4.1-r7.apk2024-10-25 20:54 6.4K 
[   ]py3-tailer-0.4.1-r7.apk2024-10-25 20:54 6.6K 
[   ]py3-synapse-auto-accept-invite-pyc-1.2.0-r1.apk2025-08-09 12:15 5.4K 
[   ]py3-synapse-auto-accept-invite-1.2.0-r1.apk2025-08-09 12:15 9.5K 
[   ]py3-swagger-ui-bundle-pyc-1.1.0-r1.apk2024-10-25 20:54 1.8K 
[   ]py3-swagger-ui-bundle-1.1.0-r1.apk2024-10-25 20:54 2.5M 
[   ]py3-svgpath-pyc-7.0-r0.apk2025-07-08 06:16 24K 
[   ]py3-svgpath-7.0-r0.apk2025-07-08 06:16 18K 
[   ]py3-svglib-pyc-1.5.1-r0.apk2025-06-10 18:56 42K 
[   ]py3-svglib-doc-1.5.1-r0.apk2025-06-10 18:56 1.8K 
[   ]py3-svglib-1.5.1-r0.apk2025-06-10 18:56 30K 
[   ]py3-sstash-pyc-0.17-r9.apk2024-10-25 20:54 10K 
[   ]py3-sstash-0.17-r9.apk2024-10-25 20:54 7.5K 
[   ]py3-sssd-pyc-2.11.1-r1.apk2025-08-09 12:15 48K 
[   ]py3-sssd-2.11.1-r1.apk2025-08-09 12:15 63K 
[   ]py3-sqlmodel-pyc-0.0.22-r1.apk2024-12-06 23:04 41K 
[   ]py3-sqlmodel-0.0.22-r1.apk2024-12-06 23:04 26K 
[   ]py3-spotipy-pyc-2.24.0-r2.apk2025-05-14 18:20 49K 
[   ]py3-spotipy-2.24.0-r2.apk2025-05-14 18:20 29K 
[   ]py3-spnego-pyc-0.11.2-r0.apk2025-01-16 07:54 219K 
[   ]py3-spnego-0.11.2-r0.apk2025-01-16 07:54 118K 
[   ]py3-spinners-pyc-0.0.24-r5.apk2024-10-25 20:54 6.0K 
[   ]py3-spinners-0.0.24-r5.apk2024-10-25 20:54 5.8K 
[   ]py3-spin-pyc-0.8-r0.apk2024-10-25 20:54 24K 
[   ]py3-spin-0.8-r0.apk2024-10-25 20:54 18K 
[   ]py3-spidev-3.6-r1.apk2024-10-25 20:54 14K 
[   ]py3-sphinxcontrib-textstyle-pyc-0.2.3-r8.apk2024-10-25 20:54 5.4K 
[   ]py3-sphinxcontrib-textstyle-0.2.3-r8.apk2024-10-25 20:54 5.8K 
[   ]py3-sphinxcontrib-sqltable-pyc-2.0.0-r8.apk2024-10-25 20:54 4.7K 
[   ]py3-sphinxcontrib-sqltable-2.0.0-r8.apk2024-10-25 20:54 7.1K 
[   ]py3-sphinxcontrib-spelling-pyc-8.0.1-r0.apk2025-07-13 21:34 19K 
[   ]py3-sphinxcontrib-spelling-8.0.1-r0.apk2025-07-13 21:34 13K 
[   ]py3-sphinxcontrib-slide-pyc-1.0.0-r4.apk2025-05-14 17:30 5.3K 
[   ]py3-sphinxcontrib-slide-1.0.0-r4.apk2025-05-14 17:30 4.6K 
[   ]py3-sphinxcontrib-seqdiag-pyc-3.0.0-r5.apk2024-10-25 20:54 9.0K 
[   ]py3-sphinxcontrib-seqdiag-3.0.0-r5.apk2024-10-25 20:54 7.4K 
[   ]py3-sphinxcontrib-restbuilder-pyc-0.3-r6.apk2024-10-25 20:54 20K 
[   ]py3-sphinxcontrib-restbuilder-0.3-r6.apk2024-10-25 20:54 11K 
[   ]py3-sphinxcontrib-programoutput-pyc-0.17-r5.apk2024-10-25 20:54 24K 
[   ]py3-sphinxcontrib-programoutput-0.17-r5.apk2024-10-25 20:54 16K 
[   ]py3-sphinxcontrib-phpdomain-pyc-0.13.0-r0.apk2025-07-12 16:34 17K 
[   ]py3-sphinxcontrib-phpdomain-0.13.0-r0.apk2025-07-12 16:34 11K 
[   ]py3-sphinxcontrib-nwdiag-pyc-2.0.0-r7.apk2024-10-25 20:54 11K 
[   ]py3-sphinxcontrib-nwdiag-2.0.0-r7.apk2024-10-25 20:54 8.5K 
[   ]py3-sphinxcontrib-mermaid-pyc-1.0.0-r0.apk2025-05-08 12:40 16K 
[   ]py3-sphinxcontrib-mermaid-1.0.0-r0.apk2025-05-08 12:40 10K 
[   ]py3-sphinxcontrib-manpage-pyc-0.6-r8.apk2024-10-25 20:54 2.9K 
[   ]py3-sphinxcontrib-manpage-0.6-r8.apk2024-10-25 20:54 3.9K 
[   ]py3-sphinxcontrib-lassodomain-pyc-0.4-r8.apk2024-10-25 20:54 10K 
[   ]py3-sphinxcontrib-lassodomain-0.4-r8.apk2024-10-25 20:54 7.7K 
[   ]py3-sphinxcontrib-issuetracker-pyc-0.11-r7.apk2024-10-25 20:54 12K 
[   ]py3-sphinxcontrib-issuetracker-0.11-r7.apk2024-10-25 20:54 11K 
[   ]py3-sphinxcontrib-inheritance-pyc-0.9.0-r9.apk2024-10-25 20:54 15K 
[   ]py3-sphinxcontrib-inheritance-0.9.0-r9.apk2024-10-25 20:54 10K 
[   ]py3-sphinxcontrib-hydomain-pyc-0.1.0_git20230930-r1.apk2024-10-25 20:54 44K 
[   ]py3-sphinxcontrib-hydomain-0.1.0_git20230930-r1.apk2024-10-25 20:54 21K 
[   ]py3-sphinxcontrib-httpdomain-pyc-1.8.1-r3.apk2024-10-25 20:54 34K 
[   ]py3-sphinxcontrib-httpdomain-lang-1.8.1-r3.apk2024-10-25 20:54 4.1K 
[   ]py3-sphinxcontrib-httpdomain-1.8.1-r3.apk2024-10-25 20:54 18K 
[   ]py3-sphinxcontrib-htsql-pyc-0.1.5-r8.apk2024-10-25 20:54 15K 
[   ]py3-sphinxcontrib-htsql-0.1.5-r8.apk2024-10-25 20:54 10K 
[   ]py3-sphinxcontrib-gravatar-pyc-0.1.2-r8.apk2024-10-25 20:54 7.4K 
[   ]py3-sphinxcontrib-gravatar-0.1.2-r8.apk2024-10-25 20:54 7.6K 
[   ]py3-sphinxcontrib-git-pyc-11.0.0-r7.apk2024-10-25 20:54 6.4K 
[   ]py3-sphinxcontrib-git-11.0.0-r7.apk2024-10-25 20:54 17K 
[   ]py3-sphinxcontrib-gist-pyc-0.1.0-r9.apk2024-10-25 20:54 3.1K 
[   ]py3-sphinxcontrib-gist-0.1.0-r9.apk2024-10-25 20:54 3.7K 
[   ]py3-sphinxcontrib-fulltoc-pyc-1.2.0-r8.apk2024-10-25 20:54 3.2K 
[   ]py3-sphinxcontrib-fulltoc-1.2.0-r8.apk2024-10-25 20:54 8.9K 
[   ]py3-sphinxcontrib-cartouche-pyc-1.1.2-r7.apk2024-10-25 20:54 33K 
[   ]py3-sphinxcontrib-cartouche-1.1.2-r7.apk2024-10-25 20:54 18K 
[   ]py3-sphinxcontrib-cacoo-pyc-2.0.0-r7.apk2024-10-25 20:54 4.0K 
[   ]py3-sphinxcontrib-cacoo-2.0.0-r7.apk2024-10-25 20:54 5.5K 
[   ]py3-sphinxcontrib-blockdiag-pyc-3.0.0-r4.apk2024-10-25 20:54 8.9K 
[   ]py3-sphinxcontrib-blockdiag-3.0.0-r4.apk2024-10-25 20:54 7.3K 
[   ]py3-sphinxcontrib-bitbucket-pyc-1.0-r8.apk2024-10-25 20:54 3.8K 
[   ]py3-sphinxcontrib-bitbucket-1.0-r8.apk2024-10-25 20:54 5.4K 
[   ]py3-sphinxcontrib-adadomain-pyc-0.2-r9.apk2024-10-25 20:54 12K 
[   ]py3-sphinxcontrib-adadomain-0.2-r9.apk2024-10-25 20:54 8.7K 
[   ]py3-sphinxcontrib-actdiag-pyc-3.0.0-r4.apk2024-10-25 20:54 9.0K 
[   ]py3-sphinxcontrib-actdiag-3.0.0-r4.apk2024-10-25 20:54 7.4K 
[   ]py3-sphinx-theme-readable-pyc-1.3.0-r9.apk2024-10-25 20:54 2.0K 
[   ]py3-sphinx-theme-readable-1.3.0-r9.apk2024-10-25 20:54 8.6K 
[   ]py3-sphinx-theme-guzzle-pyc-0.7.11-r7.apk2024-10-25 20:54 5.3K 
[   ]py3-sphinx-theme-guzzle-0.7.11-r7.apk2024-10-25 20:54 2.4M 
[   ]py3-sphinx-theme-epfl-pyc-1.1.1-r9.apk2024-10-25 20:54 2.2K 
[   ]py3-sphinx-theme-epfl-1.1.1-r9.apk2024-10-25 20:54 30K 
[   ]py3-sphinx-theme-cloud-pyc-1.10.0-r2.apk2024-10-25 20:54 43K 
[   ]py3-sphinx-theme-cloud-1.10.0-r2.apk2024-10-25 20:54 81K 
[   ]py3-sphinx-theme-bw-pyc-0.1.8-r7.apk2024-10-25 20:54 1.6K 
[   ]py3-sphinx-theme-bw-0.1.8-r7.apk2024-10-25 20:54 65K 
[   ]py3-sphinx-theme-bootstrap-pyc-0.8.1-r4.apk2024-10-25 20:54 2.1K 
[   ]py3-sphinx-theme-bootstrap-0.8.1-r4.apk2024-10-25 20:54 1.2M 
[   ]py3-sphinx-theme-better-pyc-0.1.5-r7.apk2024-10-25 20:54 1.7K 
[   ]py3-sphinx-theme-better-0.1.5-r7.apk2024-10-25 20:54 10K 
[   ]py3-sphinx-autoapi-pyc-3.6.0-r0.apk2025-02-18 22:59 59K 
[   ]py3-sphinx-autoapi-3.6.0-r0.apk2025-02-18 22:59 31K 
[   ]py3-sphinx-argparse-pyc-0.5.2-r0.apk2024-10-25 20:54 22K 
[   ]py3-sphinx-argparse-0.5.2-r0.apk2024-10-25 20:54 14K 
[   ]py3-spake2-pyc-0.9-r0.apk2024-10-25 20:54 43K 
[   ]py3-spake2-0.9-r0.apk2024-10-25 20:54 30K 
[   ]py3-sortedcollections-pyc-2.1.0-r5.apk2024-10-25 20:54 14K 
[   ]py3-sortedcollections-2.1.0-r5.apk2024-10-25 20:54 10K 
[   ]py3-solidpython-pyc-1.1.2-r2.apk2024-10-25 20:54 120K 
[   ]py3-solidpython-1.1.2-r2.apk2024-10-25 20:54 78K 
[   ]py3-socketio-pyc-5.13.0-r0.apk2025-09-06 17:18 126K 
[   ]py3-socketio-doc-5.13.0-r0.apk2025-09-06 17:18 36K 
[   ]py3-socketio-5.13.0-r0.apk2025-09-06 17:18 62K 
[   ]py3-soapy_power-pyc-1.6.1-r5.apk2024-10-25 20:54 26K 
[   ]py3-soapy_power-1.6.1-r5.apk2024-10-25 20:54 17K 
[   ]py3-soappy-pyc-0.52.30-r0.apk2024-12-02 23:03 95K 
[   ]py3-soappy-0.52.30-r0.apk2024-12-02 23:03 47K 
[   ]py3-snapshottest-pyc-0.6.0-r5.apk2024-10-25 20:54 25K 
[   ]py3-snapshottest-0.6.0-r5.apk2024-10-25 20:54 15K 
[   ]py3-slixmpp-pyc-1.8.5-r2.apk2024-10-25 20:54 729K 
[   ]py3-slixmpp-doc-1.8.5-r2.apk2024-10-25 20:54 5.5K 
[   ]py3-slixmpp-1.8.5-r2.apk2024-10-25 20:54 383K 
[   ]py3-slidge-style-parser-pyc-0.1.9-r0.apk2025-04-12 05:45 1.7K 
[   ]py3-slidge-style-parser-0.1.9-r0.apk2025-04-12 05:45 237K 
[   ]py3-simplespectral-pyc-1.0.0-r5.apk2024-10-25 20:54 7.8K 
[   ]py3-simplespectral-1.0.0-r5.apk2024-10-25 20:54 7.2K 
[   ]py3-simplesoapy-pyc-1.5.1-r7.apk2024-10-25 20:54 12K 
[   ]py3-simplesoapy-1.5.1-r7.apk2024-10-25 20:54 7.6K 
[   ]py3-simplesat-pyc-0.8.2-r0.apk2024-10-25 20:54 156K 
[   ]py3-simplesat-0.8.2-r0.apk2024-10-25 20:54 214K 
[   ]py3-simplematch-pyc-1.4-r1.apk2024-10-25 20:54 5.5K 
[   ]py3-simplematch-1.4-r1.apk2024-10-25 20:54 7.7K 
[   ]py3-simpleeval-pyc-1.0.3-r0.apk2025-06-24 19:38 16K 
[   ]py3-simpleeval-1.0.3-r0.apk2025-06-24 19:38 15K 
[   ]py3-simple-websocket-pyc-1.1.0-r0.apk2025-09-06 17:18 22K 
[   ]py3-simple-websocket-doc-1.1.0-r0.apk2025-09-06 17:18 2.0K 
[   ]py3-simple-websocket-1.1.0-r0.apk2025-09-06 17:18 11K 
[   ]py3-simber-pyc-0.2.6-r5.apk2025-05-14 18:20 16K 
[   ]py3-simber-0.2.6-r5.apk2025-05-14 18:20 12K 
[   ]py3-shodan-pyc-1.31.0-r1.apk2024-10-25 20:54 79K 
[   ]py3-shodan-doc-1.31.0-r1.apk2024-10-25 20:54 6.9K 
[   ]py3-shodan-1.31.0-r1.apk2024-10-25 20:54 44K 
[   ]py3-sh-pyc-2.1.0-r0.apk2024-11-01 15:14 55K 
[   ]py3-sh-2.1.0-r0.apk2024-11-01 15:14 38K 
[   ]py3-setuptools-lint-pyc-0.6.0-r9.apk2024-10-25 20:54 5.7K 
[   ]py3-setuptools-lint-0.6.0-r9.apk2024-10-25 20:54 5.2K 
[   ]py3-seqdiag-pyc-3.0.0-r5.apk2024-10-25 20:54 42K 
[   ]py3-seqdiag-3.0.0-r5.apk2024-10-25 20:54 2.5M 
[   ]py3-senf-pyc-1.5.0-r0.apk2025-06-27 02:59 32K 
[   ]py3-senf-1.5.0-r0.apk2025-06-27 02:59 20K 
[   ]py3-scs-pyc-3.2.3-r4.apk2024-10-25 20:54 4.7K 
[   ]py3-scs-3.2.3-r4.apk2024-10-25 20:54 118K 
[   ]py3-scrapy-pyc-2.11.1-r1.apk2024-10-25 20:54 482K 
[   ]py3-scrapy-2.11.1-r1.apk2024-10-25 20:54 240K 
[   ]py3-scour-pyc-0.38.2-r1.apk2024-10-25 20:54 74K 
[   ]py3-scour-0.38.2-r1.apk2024-10-25 20:54 56K 
[   ]py3-schema-pyc-0.7.7-r0.apk2025-07-07 16:16 20K 
[   ]py3-schema-0.7.7-r0.apk2025-07-07 16:16 19K 
[   ]py3-rtree-pyc-1.4.1-r0.apk2025-08-14 10:21 48K 
[   ]py3-rtree-1.4.1-r0.apk2025-08-14 10:21 26K 
[   ]py3-rst2ansi-pyc-0.1.5-r0.apk2024-10-25 20:54 24K 
[   ]py3-rst2ansi-doc-0.1.5-r0.apk2024-10-25 20:54 2.0K 
[   ]py3-rst2ansi-0.1.5-r0.apk2024-10-25 20:54 12K 
[   ]py3-rst.linker-pyc-2.6.0-r0.apk2024-10-25 20:54 6.4K 
[   ]py3-rst.linker-2.6.0-r0.apk2024-10-25 20:54 5.8K 
[   ]py3-rst-pyc-0.1-r9.apk2024-10-25 20:54 5.8K 
[   ]py3-rst-0.1-r9.apk2024-10-25 20:54 5.3K 
[   ]py3-rpio-pyc-0.10.1-r8.apk2024-10-25 20:54 16K 
[   ]py3-rpio-0.10.1-r8.apk2024-10-25 20:54 39K 
[   ]py3-rospkg-pyc-1.2.9-r5.apk2024-10-25 20:54 54K 
[   ]py3-rospkg-1.2.9-r5.apk2024-10-25 20:54 29K 
[   ]py3-rosdistro-pyc-0.9.0-r3.apk2024-10-25 20:54 91K 
[   ]py3-rosdistro-0.9.0-r3.apk2024-10-25 20:54 47K 
[   ]py3-rofi-pyc-1.0.1-r1.apk2025-08-09 12:15 11K 
[   ]py3-rofi-1.0.1-r1.apk2025-08-09 12:15 12K 
[   ]py3-rns-pyc-1.0.0-r0.apk2025-10-03 08:45 704K 
[   ]py3-rns-1.0.0-r0.apk2025-10-03 08:45 344K 
[   ]py3-riotctrl-pyc-0.5.0-r4.apk2024-10-25 20:54 10K 
[   ]py3-riotctrl-0.5.0-r4.apk2024-10-25 20:54 13K 
[   ]py3-rich-click-pyc-1.7.3-r1.apk2024-10-25 20:54 40K 
[   ]py3-rich-click-1.7.3-r1.apk2024-10-25 20:54 30K 
[   ]py3-rfc-bibtex-pyc-0.3.2-r7.apk2024-10-25 20:54 12K 
[   ]py3-rfc-bibtex-0.3.2-r7.apk2024-10-25 20:54 13K 
[   ]py3-requests-wsgi-adapter-pyc-0.4.1-r1.apk2024-10-25 20:54 6.3K 
[   ]py3-requests-wsgi-adapter-0.4.1-r1.apk2024-10-25 20:54 5.3K 
[   ]py3-requests-pkcs12-pyc-1.27-r0.apk2025-09-14 13:16 7.8K 
[   ]py3-requests-pkcs12-1.27-r0.apk2025-09-14 13:16 6.3K 
[   ]py3-requests-kerberos-pyc-0.15.0-r0.apk2024-12-01 17:25 11K 
[   ]py3-requests-kerberos-0.15.0-r0.apk2024-12-01 17:25 12K 
[   ]py3-requests-cache-pyc-1.2.1-r1.apk2024-11-18 08:47 94K 
[   ]py3-requests-cache-1.2.1-r1.apk2024-11-18 08:47 50K 
[   ]py3-remind-pyc-0.19.2-r0.apk2025-04-22 17:46 22K 
[   ]py3-remind-0.19.2-r0.apk2025-04-22 17:46 24K 
[   ]py3-redmine-pyc-2.5.0-r0.apk2024-10-25 20:54 53K 
[   ]py3-redmine-2.5.0-r0.apk2024-10-25 20:54 37K 
[   ]py3-recurring-ical-events-pyc-3.8.0-r0.apk2025-06-15 03:44 50K 
[   ]py3-recurring-ical-events-3.8.0-r0.apk2025-06-15 03:44 39K 
[   ]py3-recommonmark-pyc-0.7.1-r4.apk2024-10-25 20:54 17K 
[   ]py3-recommonmark-0.7.1-r4.apk2024-10-25 20:54 11K 
[   ]py3-radon-pyc-6.0.1-r2.apk2024-10-25 20:54 49K 
[   ]py3-radon-doc-6.0.1-r2.apk2024-10-25 20:54 4.9K 
[   ]py3-radon-6.0.1-r2.apk2024-10-25 20:54 31K 
[   ]py3-rabbit-pyc-1.1.0-r8.apk2024-10-25 20:54 15K 
[   ]py3-rabbit-1.1.0-r8.apk2024-10-25 20:54 11K 
[   ]py3-queuelib-pyc-1.8.0-r0.apk2025-09-01 18:14 24K 
[   ]py3-queuelib-1.8.0-r0.apk2025-09-01 18:14 12K 
[   ]py3-quebra-frases-pyc-0.3.7-r1.apk2024-10-25 20:54 7.6K 
[   ]py3-quebra-frases-0.3.7-r1.apk2024-10-25 20:54 8.6K 
[   ]py3-quart-pyc-0.20.0-r0.apk2025-07-23 06:27 147K 
[   ]py3-quart-0.20.0-r0.apk2025-07-23 06:27 68K 
[   ]py3-qt.py-pyc-1.3.10-r1.apk2024-10-25 20:54 24K 
[   ]py3-qt.py-1.3.10-r1.apk2024-10-25 20:54 32K 
[   ]py3-qpageview-pyc-0.6.2-r1.apk2024-10-25 20:54 180K 
[   ]py3-qpageview-doc-0.6.2-r1.apk2024-10-25 20:54 56K 
[   ]py3-qpageview-0.6.2-r1.apk2024-10-25 20:54 98K 
[   ]py3-qdldl-0.1.5-r4.apk2024-10-25 20:54 102K 
[   ]py3-qasync-0.19.0-r2.apk2024-10-25 20:54 37K 
[   ]py3-pyzor-pyc-1.1.2-r0.apk2025-08-14 06:27 54K 
[   ]py3-pyzor-1.1.2-r0.apk2025-08-14 06:27 40K 
[   ]py3-pyvcd-pyc-0.4.1-r0.apk2024-11-11 21:56 40K 
[   ]py3-pyvcd-0.4.1-r0.apk2024-11-11 21:56 22K 
[   ]py3-python-stdnum-pyc-1.20-r0.apk2024-10-25 20:54 293K 
[   ]py3-python-stdnum-1.20-r0.apk2024-10-25 20:54 805K 
[   ]py3-python-logstash-pyc-0.4.8-r4.apk2024-10-25 20:54 8.2K 
[   ]py3-python-logstash-doc-0.4.8-r4.apk2024-10-25 20:54 2.0K 
[   ]py3-python-logstash-0.4.8-r4.apk2024-10-25 20:54 8.3K 
[   ]py3-python-jwt-pyc-4.1.0-r2.apk2025-05-15 21:23 6.2K 
[   ]py3-python-jwt-4.1.0-r2.apk2025-05-15 21:23 7.8K 
[   ]py3-python-iptables-pyc-1.0.1-r1.apk2024-10-25 20:54 68K 
[   ]py3-python-iptables-1.0.1-r1.apk2024-10-25 20:54 39K 
[   ]py3-python-archive-pyc-0.2-r7.apk2024-10-25 20:54 9.1K 
[   ]py3-python-archive-0.2-r7.apk2024-10-25 20:54 7.1K 
[   ]py3-pytest-textual-snapshot-pyc-1.1.0-r0.apk2025-08-08 16:55 10K 
[   ]py3-pytest-textual-snapshot-1.1.0-r0.apk2025-08-08 16:55 8.9K 
[   ]py3-pytest-subprocess-pyc-1.5.2-r0.apk2024-10-25 20:54 24K 
[   ]py3-pytest-subprocess-1.5.2-r0.apk2024-10-25 20:54 19K 
[   ]py3-pytest-regtest-pyc-2.3.4-r0.apk2025-10-04 12:51 30K 
[   ]py3-pytest-regtest-2.3.4-r0.apk2025-10-04 12:51 16K 
[   ]py3-pytest-metadata-pyc-3.1.1-r0.apk2024-10-25 20:54 7.6K 
[   ]py3-pytest-metadata-3.1.1-r0.apk2024-10-25 20:54 9.9K 
[   ]py3-pytest-html-pyc-4.1.1-r1.apk2024-10-25 20:54 21K 
[   ]py3-pytest-html-4.1.1-r1.apk2024-10-25 20:54 21K 
[   ]py3-pytest-home-pyc-0.6.0-r0.apk2024-10-25 20:54 2.5K 
[   ]py3-pytest-home-0.6.0-r0.apk2024-10-25 20:54 4.3K 
[   ]py3-pytest-expect-pyc-1.1.0-r10.apk2024-10-25 20:54 6.7K 
[   ]py3-pytest-expect-1.1.0-r10.apk2024-10-25 20:54 5.6K 
[   ]py3-pytest-datadir-pyc-1.8.0-r0.apk2025-08-05 12:49 5.1K 
[   ]py3-pytest-datadir-1.8.0-r0.apk2025-08-05 12:49 6.8K 
[   ]py3-pyte-pyc-0.8.2-r3.apk2025-05-14 17:30 39K 
[   ]py3-pyte-0.8.2-r3.apk2025-05-14 17:30 30K 
[   ]py3-pytap2-pyc-2.3.0-r0.apk2024-10-25 20:54 5.7K 
[   ]py3-pytap2-doc-2.3.0-r0.apk2024-10-25 20:54 2.5K 
[   ]py3-pytap2-2.3.0-r0.apk2024-10-25 20:54 6.7K 
[   ]py3-pytaglib-pyc-3.0.0-r0.apk2025-01-26 19:55 2.6K 
[   ]py3-pytaglib-3.0.0-r0.apk2025-01-26 19:55 40K 
[   ]py3-pysubs2-pyc-1.8.0-r0.apk2024-12-25 22:10 67K 
[   ]py3-pysubs2-1.8.0-r0.apk2024-12-25 22:10 36K 
[   ]py3-pystache-pyc-0.6.5-r1.apk2024-10-25 20:54 96K 
[   ]py3-pystache-0.6.5-r1.apk2024-10-25 20:54 68K 
[   ]py3-pysrt-pyc-1.1.2-r5.apk2025-05-14 18:20 22K 
[   ]py3-pysrt-1.1.2-r5.apk2025-05-14 18:20 25K 
[   ]py3-pyspinel-pyc-1.0.3-r1.apk2024-10-25 20:54 63K 
[   ]py3-pyspinel-1.0.3-r1.apk2024-10-25 20:54 56K 
[   ]py3-pysonic-pyc-1.0.3-r0.apk2025-04-16 22:48 32K 
[   ]py3-pysonic-1.0.3-r0.apk2025-04-16 22:48 35K 
[   ]py3-pysimplesoap-pyc-1.16.2-r7.apk2024-10-25 20:54 78K 
[   ]py3-pysimplesoap-1.16.2-r7.apk2024-10-25 20:54 43K 
[   ]py3-pyscreeze-pyc-0.1.29-r3.apk2024-10-25 20:54 14K 
[   ]py3-pyscreeze-0.1.29-r3.apk2024-10-25 20:54 14K 
[   ]py3-pyroma-pyc-4.2-r0.apk2024-10-25 20:54 26K 
[   ]py3-pyroma-4.2-r0.apk2024-10-25 20:54 22K 
[   ]py3-pyrebase-pyc-3.0.27-r5.apk2024-10-25 20:54 17K 
[   ]py3-pyrebase-3.0.27-r5.apk2024-10-25 20:54 9.4K 
[   ]py3-pyqrcode-pyc-1.2.1-r0.apk2024-10-25 20:54 47K 
[   ]py3-pyqrcode-doc-1.2.1-r0.apk2024-10-25 20:54 4.0K 
[   ]py3-pyqrcode-1.2.1-r0.apk2024-10-25 20:54 36K 
[   ]py3-pypubsub-pyc-4.0.3-r0.apk2024-10-25 20:54 90K 
[   ]py3-pypubsub-doc-4.0.3-r0.apk2024-10-25 20:54 1.9K 
[   ]py3-pypubsub-4.0.3-r0.apk2024-10-25 20:54 52K 
[   ]py3-pyparted-pyc-3.13.0-r1.apk2024-10-25 20:54 42K 
[   ]py3-pyparted-3.13.0-r1.apk2024-10-25 20:54 78K 
[   ]py3-pynest2d-5.2.2-r5.apk2025-02-06 04:46 274K 
[   ]py3-pymupdf-pyc-1.26.4-r0.apk2025-10-03 08:45 500K 
[   ]py3-pymupdf-1.26.4-r0.apk2025-10-03 08:45 321K 
[   ]py3-pymsteams-pyc-0.2.5-r0.apk2025-02-18 22:22 6.3K 
[   ]py3-pymsteams-0.2.5-r0.apk2025-02-18 22:22 12K 
[   ]py3-pymsgbox-pyc-1.0.9-r5.apk2024-10-25 20:54 9.6K 
[   ]py3-pymsgbox-1.0.9-r5.apk2024-10-25 20:54 8.9K 
[   ]py3-pymeta3-pyc-0.5.1-r6.apk2024-10-25 20:54 31K 
[   ]py3-pymeta3-0.5.1-r6.apk2024-10-25 20:54 16K 
[   ]py3-pymata4-pyc-1.15-r4.apk2024-10-25 20:54 31K 
[   ]py3-pymata4-1.15-r4.apk2024-10-25 20:54 23K 
[   ]py3-pymata-pyc-2.20-r4.apk2024-10-25 20:54 29K 
[   ]py3-pymata-2.20-r4.apk2024-10-25 20:54 22K 
[   ]py3-pymaging-pyc-0.0.20130908-r10.apk2024-10-25 20:54 31K 
[   ]py3-pymaging-png-pyc-0.0.20130727-r10.apk2024-10-25 20:54 52K 
[   ]py3-pymaging-png-0.0.20130727-r10.apk2024-10-25 20:54 35K 
[   ]py3-pymaging-0.0.20130908-r10.apk2024-10-25 20:54 18K 
[   ]py3-pylru-pyc-1.2.1-r1.apk2024-10-25 20:54 8.7K 
[   ]py3-pylru-1.2.1-r1.apk2024-10-25 20:54 16K 
[   ]py3-pyisbn-pyc-1.3.1-r3.apk2024-10-25 20:54 9.1K 
[   ]py3-pyisbn-1.3.1-r3.apk2024-10-25 20:54 20K 
[   ]py3-pyinstrument-pyc-5.1.1-r0.apk2025-08-13 05:20 100K 
[   ]py3-pyinstrument-5.1.1-r0.apk2025-08-13 05:20 110K 
[   ]py3-pyinstaller-pyc-6.6.0-r0.apk2024-10-25 20:54 502K 
[   ]py3-pyinstaller-6.6.0-r0.apk2024-10-25 20:54 1.9M 
[   ]py3-pygtail-pyc-0.14.0-r3.apk2024-10-25 20:54 9.8K 
[   ]py3-pygtail-0.14.0-r3.apk2024-10-25 20:54 15K 
[   ]py3-pygpgme-pyc-0.3.1-r9.apk2024-10-25 20:54 4.8K 
[   ]py3-pygpgme-0.3.1-r9.apk2024-10-25 20:54 38K 
[   ]py3-pyglm-2.7.3-r0.apk2024-11-06 09:43 1.2M 
[   ]py3-pyglet-pyc-2.1.5-r1.apk2025-08-27 17:13 1.6M 
[   ]py3-pyglet-2.1.5-r1.apk2025-08-27 17:13 890K 
[   ]py3-pygfm-pyc-2.0.0-r2.apk2024-10-25 20:54 13K 
[   ]py3-pygfm-2.0.0-r2.apk2024-10-25 20:54 12K 
[   ]py3-pygelbooru-pyc-1.0.0-r0.apk2025-07-30 04:28 11K 
[   ]py3-pygelbooru-1.0.0-r0.apk2025-07-30 04:28 20K 
[   ]py3-pydes-pyc-2.0.1-r5.apk2024-10-25 20:54 13K 
[   ]py3-pydes-doc-2.0.1-r5.apk2024-10-25 20:54 3.4K 
[   ]py3-pydes-2.0.1-r5.apk2024-10-25 20:54 10K 
[   ]py3-pycosat-0.6.6-r2.apk2024-10-25 20:54 48K 
[   ]py3-pycolorterm-pyc-0.2.1-r6.apk2024-10-25 20:54 3.5K 
[   ]py3-pycolorterm-0.2.1-r6.apk2024-10-25 20:54 5.2K 
[   ]py3-pycaption-2.2.15-r0.apk2024-10-25 20:54 360K 
[   ]py3-pybars3-pyc-0.9.7-r6.apk2024-10-25 20:54 17K 
[   ]py3-pybars3-0.9.7-r6.apk2024-10-25 20:54 15K 
[   ]py3-pyautogui-pyc-0.9.53-r5.apk2024-10-25 20:54 45K 
[   ]py3-pyautogui-0.9.53-r5.apk2024-10-25 20:54 35K 
[   ]py3-pyatem-pyc-0.5.0-r4.apk2024-10-25 20:54 91K 
[   ]py3-pyatem-0.5.0-r4.apk2024-10-25 20:54 53K 
[   ]py3-py-radix-pyc-0.10.0-r10.apk2024-10-25 20:54 10K 
[   ]py3-py-radix-0.10.0-r10.apk2024-10-25 20:54 20K 
[   ]py3-pure_protobuf-pyc-3.1.2-r0.apk2024-10-25 20:54 37K 
[   ]py3-pure_protobuf-3.1.2-r0.apk2024-10-25 20:54 21K 
[   ]py3-proxmoxer-pyc-2.2.0-r0.apk2024-12-16 11:36 27K 
[   ]py3-proxmoxer-2.2.0-r0.apk2024-12-16 11:36 17K 
[   ]py3-protego-pyc-0.3.1-r0.apk2024-11-30 20:42 11K 
[   ]py3-protego-0.3.1-r0.apk2024-11-30 20:42 9.1K 
[   ]py3-proglog-pyc-0.1.10-r2.apk2024-10-25 20:54 9.7K 
[   ]py3-proglog-0.1.10-r2.apk2024-10-25 20:54 7.1K 
[   ]py3-priority-pyc-2.0.0-r0.apk2025-07-23 06:27 9.1K 
[   ]py3-priority-2.0.0-r0.apk2025-07-23 06:27 9.6K 
[   ]py3-print-color-pyc-0.4.6-r0.apk2024-10-25 20:54 4.7K 
[   ]py3-print-color-doc-0.4.6-r0.apk2024-10-25 20:54 2.4K 
[   ]py3-print-color-0.4.6-r0.apk2024-10-25 20:54 8.6K 
[   ]py3-prefixed-pyc-0.9.0-r0.apk2025-08-28 09:32 8.3K 
[   ]py3-prefixed-0.9.0-r0.apk2025-08-28 09:32 14K 
[   ]py3-pprintpp-pyc-0.4.0-r1.apk2024-10-25 20:54 16K 
[   ]py3-pprintpp-0.4.0-r1.apk2024-10-25 20:54 14K 
[   ]py3-ppk2-api-pyc-0.9.2-r0.apk2024-11-30 20:52 16K 
[   ]py3-ppk2-api-0.9.2-r0.apk2024-11-30 20:52 16K 
[   ]py3-poppler-qt5-21.3.0-r2.apk2025-01-29 19:22 128K 
[   ]py3-poetry-dynamic-versioning-pyc-1.9.1-r0.apk2025-07-25 23:42 26K 
[   ]py3-poetry-dynamic-versioning-1.9.1-r0.apk2025-07-25 23:42 20K 
[   ]py3-pockethernet-pyc-0.7.0-r4.apk2024-10-25 20:54 25K 
[   ]py3-pockethernet-0.7.0-r4.apk2024-10-25 20:54 15K 
[   ]py3-pltable-pyc-1.1.0-r1.apk2024-11-13 06:38 33K 
[   ]py3-pltable-1.1.0-r1.apk2024-11-13 06:38 18K 
[   ]py3-plotly-5.24.1-r2.apk2025-04-18 22:26 20M 
[   ]py3-playsound-pyc-1.3.0-r1.apk2024-10-25 20:54 8.3K 
[   ]py3-playsound-1.3.0-r1.apk2024-10-25 20:54 6.6K 
[   ]py3-piper-tts-2023.11.14.2-r14.apk2025-07-12 16:34 41K 
[   ]py3-piper-phonemize-pyc-2023.11.14.4-r9.apk2025-07-12 16:34 3.1K 
[   ]py3-piper-phonemize-2023.11.14.4-r9.apk2025-07-12 16:34 144K 
[   ]py3-pip-system-certs-pyc-4.0-r1.apk2024-10-25 20:54 4.4K 
[   ]py3-pip-system-certs-4.0-r1.apk2024-10-25 20:54 6.7K 
[   ]py3-pillow_heif-pyc-0.18.0-r0.apk2024-10-25 20:54 35K 
[   ]py3-pillow_heif-0.18.0-r0.apk2024-10-25 20:54 45K 
[   ]py3-pickle-secure-pyc-0.99.9-r1.apk2024-10-25 20:54 5.1K 
[   ]py3-pickle-secure-0.99.9-r1.apk2024-10-25 20:54 7.2K 
[   ]py3-piccata-pyc-2.0.3-r1.apk2024-10-25 20:54 34K 
[   ]py3-piccata-2.0.3-r1.apk2024-10-25 20:54 20K 
[   ]py3-phx-class-registry-pyc-5.0.0-r0.apk2024-10-25 20:54 17K 
[   ]py3-phx-class-registry-doc-5.0.0-r0.apk2024-10-25 20:54 2.0K 
[   ]py3-phx-class-registry-5.0.0-r0.apk2024-10-25 20:54 13K 
[   ]py3-phpserialize-pyc-1.3-r8.apk2024-10-25 20:54 10K 
[   ]py3-phpserialize-1.3-r8.apk2024-10-25 20:54 8.6K 
[   ]py3-pep8-naming-pyc-0.14.1-r0.apk2024-10-25 20:54 13K 
[   ]py3-pep8-naming-0.14.1-r0.apk2024-10-25 20:54 9.5K 
[   ]py3-pelican-pyc-4.9.1-r2.apk2024-10-25 20:54 147K 
[   ]py3-pelican-4.9.1-r2.apk2024-10-25 20:54 233K 
[   ]py3-pdal-pyc-3.4.5-r1.apk2025-06-21 21:30 13K 
[   ]py3-pdal-3.4.5-r1.apk2025-06-21 21:30 182K 
[   ]py3-pcbnewtransition-pyc-0.5.2-r0.apk2025-07-12 15:21 9.6K 
[   ]py3-pcbnewtransition-0.5.2-r0.apk2025-07-12 15:21 7.6K 
[   ]py3-pbkdf2-pyc-1.3-r7.apk2024-10-25 20:54 6.8K 
[   ]py3-pbkdf2-1.3-r7.apk2024-10-25 20:54 6.0K 
[   ]py3-pathvalidate-pyc-3.3.1-r0.apk2025-07-12 15:21 33K 
[   ]py3-pathvalidate-3.3.1-r0.apk2025-07-12 15:21 19K 
[   ]py3-pam-pyc-2.0.2-r2.apk2024-10-25 20:54 13K 
[   ]py3-pam-2.0.2-r2.apk2024-10-25 20:54 11K 
[   ]py3-padacioso-pyc-0.2.1-r0.apk2024-10-25 20:54 12K 
[   ]py3-padacioso-0.2.1-r0.apk2024-10-25 20:54 11K 
[   ]py3-pacparser-pyc-1.4.5-r1.apk2024-10-25 20:54 3.8K 
[   ]py3-pacparser-1.4.5-r1.apk2024-10-25 20:54 396K 
[   ]py3-owslib-pyc-0.34.1-r0.apk2025-07-13 19:34 424K 
[   ]py3-owslib-0.34.1-r0.apk2025-07-13 19:34 195K 
[   ]py3-ovos-workshop-pyc-7.0.6-r0.apk2025-07-15 19:31 165K 
[   ]py3-ovos-workshop-7.0.6-r0.apk2025-07-15 19:31 92K 
[   ]py3-ovos-utils-pyc-0.8.1-r0.apk2025-07-15 20:05 130K 
[   ]py3-ovos-utils-0.8.1-r0.apk2025-07-15 20:05 72K 
[   ]py3-ovos-tts-server-plugin-pyc-0.0.2_alpha13-r1.apk2024-10-25 20:54 5.2K 
[   ]py3-ovos-tts-server-plugin-0.0.2_alpha13-r1.apk2024-10-25 20:54 9.3K 
[   ]py3-ovos-tts-plugin-piper-pyc-0.0.1-r0.apk2024-10-25 20:54 11K 
[   ]py3-ovos-tts-plugin-piper-0.0.1-r0.apk2024-10-25 20:54 12K 
[   ]py3-ovos-translate-server-plugin-pyc-0.0.0-r0.apk2024-10-25 20:54 3.8K 
[   ]py3-ovos-translate-server-plugin-0.0.0-r0.apk2024-10-25 20:54 8.1K 
[   ]py3-ovos-stt-plugin-server-pyc-0.0.4_alpha4-r1.apk2024-10-25 20:54 6.5K 
[   ]py3-ovos-stt-plugin-server-0.0.4_alpha4-r1.apk2024-10-25 20:54 9.8K 
[   ]py3-ovos-plugin-manager-pyc-1.0.3-r0.apk2025-07-15 19:35 167K 
[   ]py3-ovos-plugin-manager-1.0.3-r0.apk2025-07-15 19:35 86K 
[   ]py3-ovos-phal-plugin-system-pyc-1.3.3-r0.apk2025-07-15 17:10 9.8K 
[   ]py3-ovos-phal-plugin-system-1.3.3-r0.apk2025-07-15 17:10 11K 
[   ]py3-ovos-phal-plugin-oauth-pyc-0.1.3-r0.apk2024-11-21 13:34 9.4K 
[   ]py3-ovos-phal-plugin-oauth-0.1.3-r0.apk2024-11-21 13:34 12K 
[   ]py3-ovos-phal-plugin-network-manager-pyc-1.3.2-r0.apk2024-11-21 13:34 11K 
[   ]py3-ovos-phal-plugin-network-manager-1.3.2-r0.apk2024-11-21 13:34 95K 
[   ]py3-ovos-phal-plugin-ipgeo-pyc-0.0.2-r1.apk2024-10-25 20:54 4.1K 
[   ]py3-ovos-phal-plugin-ipgeo-0.0.2-r1.apk2024-10-25 20:54 4.3K 
[   ]py3-ovos-phal-plugin-connectivity-events-pyc-0.1.2-r0.apk2025-07-15 20:05 4.8K 
[   ]py3-ovos-phal-plugin-connectivity-events-0.1.2-r0.apk2025-07-15 20:05 8.5K 
[   ]py3-ovos-ocp-rss-plugin-pyc-0.1.1-r0.apk2025-07-15 20:05 3.6K 
[   ]py3-ovos-ocp-rss-plugin-0.1.1-r0.apk2025-07-15 20:05 7.9K 
[   ]py3-ovos-ocp-news-plugin-pyc-0.1.1-r0.apk2025-07-15 20:05 8.6K 
[   ]py3-ovos-ocp-news-plugin-0.1.1-r0.apk2025-07-15 20:05 11K 
[   ]py3-ovos-ocp-m3u-plugin-pyc-0.0.2-r0.apk2024-10-25 20:54 3.1K 
[   ]py3-ovos-ocp-m3u-plugin-0.0.2-r0.apk2024-10-25 20:54 7.8K 
[   ]py3-ovos-ocp-files-plugin-pyc-0.13.1-r0.apk2024-10-25 20:54 103K 
[   ]py3-ovos-ocp-files-plugin-0.13.1-r0.apk2024-10-25 20:54 47K 
[   ]py3-ovos-ocp-audio-plugin-pyc-0.0.6_alpha2-r3.apk2024-10-25 20:54 86K 
[   ]py3-ovos-ocp-audio-plugin-0.0.6_alpha2-r3.apk2024-10-25 20:54 550K 
[   ]py3-ovos-microphone-plugin-alsa-pyc-0.1.2-r0.apk2025-07-15 20:05 4.5K 
[   ]py3-ovos-microphone-plugin-alsa-0.1.2-r0.apk2025-07-15 20:05 8.6K 
[   ]py3-ovos-lingua-franca-pyc-0.4.8_alpha3-r2.apk2024-10-25 20:54 436K 
[   ]py3-ovos-lingua-franca-0.4.8_alpha3-r2.apk2024-10-25 20:54 358K 
[   ]py3-ovos-config-pyc-2.1.1-r0.apk2025-07-15 18:37 35K 
[   ]py3-ovos-config-2.1.1-r0.apk2025-07-15 18:37 47K 
[   ]py3-ovos-classifiers-pyc-0.0.0_alpha53-r0.apk2024-10-25 20:54 163K 
[   ]py3-ovos-classifiers-0.0.0_alpha53-r0.apk2024-10-25 20:54 103K 
[   ]py3-ovos-bus-client-pyc-1.3.4-r0.apk2025-04-25 05:33 89K 
[   ]py3-ovos-bus-client-1.3.4-r0.apk2025-04-25 05:33 50K 
[   ]py3-ovos-backend-client-pyc-1.0.0-r0.apk2024-10-25 20:54 91K 
[   ]py3-ovos-backend-client-1.0.0-r0.apk2024-10-25 20:54 46K 
[   ]py3-ovos-audio-plugin-simple-pyc-0.0.1-r0.apk2024-10-25 20:54 8.0K 
[   ]py3-ovos-audio-plugin-simple-0.0.1-r0.apk2024-10-25 20:54 9.7K 
[   ]py3-osqp-pyc-0.6.2-r6.apk2024-10-25 20:54 76K 
[   ]py3-osqp-dev-0.6.2-r6.apk2024-10-25 20:54 49K 
[   ]py3-osqp-0.6.2-r6.apk2024-10-25 20:54 115K 
[   ]py3-orderedmultidict-pyc-1.0.1-r7.apk2024-10-25 20:54 17K 
[   ]py3-orderedmultidict-1.0.1-r7.apk2024-10-25 20:54 12K 
[   ]py3-openwisp-utils-pyc-1.0.4-r4.apk2024-10-25 20:54 42K 
[   ]py3-openwisp-utils-1.0.4-r4.apk2024-10-25 20:54 497K 
[   ]py3-openssh-wrapper-pyc-0.5_git20130425-r4.apk2024-10-25 20:54 10K 
[   ]py3-openssh-wrapper-0.5_git20130425-r4.apk2024-10-25 20:54 8.0K 
[   ]py3-opendht-3.1.11-r0.apk2025-01-27 20:57 148K 
[   ]py3-openapi-codec-pyc-1.3.2-r9.apk2024-10-25 20:54 11K 
[   ]py3-openapi-codec-1.3.2-r9.apk2024-10-25 20:54 7.4K 
[   ]py3-onnxruntime-pyc-1.23.0-r0.apk2025-10-05 22:09 1.4M 
[   ]py3-onnxruntime-1.23.0-r0.apk2025-10-05 22:09 7.1M 
[   ]py3-okonomiyaki-pyc-2.0.0-r0.apk2024-10-25 20:54 243K 
[   ]py3-okonomiyaki-2.0.0-r0.apk2024-10-25 20:54 7.9M 
[   ]py3-nwdiag-pyc-3.0.0-r3.apk2024-10-25 20:54 78K 
[   ]py3-nwdiag-3.0.0-r3.apk2024-10-25 20:54 4.9M 
[   ]py3-numpy-stl-pyc-3.2.0-r0.apk2024-12-01 02:05 28K 
[   ]py3-numpy-stl-3.2.0-r0.apk2024-12-01 02:05 21K 
[   ]py3-ntplib-pyc-0.4.0-r5.apk2024-10-25 20:54 8.4K 
[   ]py3-ntplib-0.4.0-r5.apk2024-10-25 20:54 7.1K 
[   ]py3-nptyping-pyc-2.5.0-r3.apk2024-10-25 20:54 32K 
[   ]py3-nptyping-2.5.0-r3.apk2024-10-25 20:54 21K 
[   ]py3-notifymail-pyc-1.1-r8.apk2024-10-25 20:54 5.5K 
[   ]py3-notifymail-1.1-r8.apk2024-10-25 20:54 7.3K 
[   ]py3-nose-timer-pyc-1.0.1-r6.apk2024-10-25 20:54 9.7K 
[   ]py3-nose-timer-1.0.1-r6.apk2024-10-25 20:54 9.1K 
[   ]py3-nmap-pyc-0.7.1-r4.apk2024-10-25 20:54 25K 
[   ]py3-nmap-0.7.1-r4.apk2024-10-25 20:54 20K 
[   ]py3-nikola-pyc-8.3.1-r0.apk2024-10-25 20:54 530K 
[   ]py3-nikola-doc-8.3.1-r0.apk2024-10-25 20:54 60K 
[   ]py3-nikola-8.3.1-r0.apk2024-10-25 20:54 1.2M 
[   ]py3-netmiko-pyc-4.6.0-r0.apk2025-08-13 15:32 372K 
[   ]py3-netmiko-4.6.0-r0.apk2025-08-13 15:32 192K 
[   ]py3-netifaces2-pyc-0.0.22-r0.apk2024-10-25 20:54 9.0K 
[   ]py3-netifaces2-0.0.22-r0.apk2024-10-25 20:54 209K 
[   ]py3-ncclient-pyc-0.6.13-r5.apk2024-10-25 20:54 106K 
[   ]py3-ncclient-0.6.13-r5.apk2024-10-25 20:54 68K 
[   ]py3-natpmp-pyc-1.3.2-r1.apk2024-10-25 20:54 9.6K 
[   ]py3-natpmp-1.3.2-r1.apk2024-10-25 20:54 9.2K 
[   ]py3-mss-10.0.0-r0.apk2024-11-14 12:57 50K 
[   ]py3-msldap-pyc-0.5.15-r1.apk2025-05-29 12:09 330K 
[   ]py3-msldap-0.5.15-r1.apk2025-05-29 12:09 143K 
[   ]py3-more-properties-pyc-1.1.1-r3.apk2024-10-25 20:54 8.0K 
[   ]py3-more-properties-1.1.1-r3.apk2024-10-25 20:54 7.2K 
[   ]py3-mopidy-tidal-pyc-0.3.2-r6.apk2024-10-25 20:54 35K 
[   ]py3-mopidy-tidal-0.3.2-r6.apk2024-10-25 20:54 24K 
[   ]py3-mopidy-mpd-pyc-3.3.0-r4.apk2024-10-25 20:54 74K 
[   ]py3-mopidy-mpd-3.3.0-r4.apk2024-10-25 20:54 46K 
[   ]py3-mopidy-local-pyc-3.3.0-r0.apk2025-01-01 22:19 34K 
[   ]py3-mopidy-local-3.3.0-r0.apk2025-01-01 22:19 28K 
[   ]py3-mopidy-jellyfin-pyc-1.0.4-r4.apk2024-10-25 20:54 36K 
[   ]py3-mopidy-jellyfin-1.0.4-r4.apk2024-10-25 20:54 24K 
[   ]py3-modern_colorthief-pyc-0.1.7-r0.apk2025-06-16 18:04 2.3K 
[   ]py3-modern_colorthief-0.1.7-r0.apk2025-06-16 18:04 807K 
[   ]py3-modbus-tk-pyc-1.1.1-r4.apk2024-10-25 20:54 48K 
[   ]py3-modbus-tk-1.1.1-r4.apk2024-10-25 20:54 25K 
[   ]py3-mnemonic-pyc-0.21-r0.apk2024-10-25 20:54 9.4K 
[   ]py3-mnemonic-doc-0.21-r0.apk2024-10-25 20:54 2.1K 
[   ]py3-mnemonic-0.21-r0.apk2024-10-25 20:54 95K 
[   ]py3-mistletoe-pyc-1.4.0-r0.apk2025-03-19 13:45 93K 
[   ]py3-mistletoe-1.4.0-r0.apk2025-03-19 13:45 44K 
[   ]py3-minio-pyc-7.2.13-r0.apk2024-12-25 18:53 160K 
[   ]py3-minio-7.2.13-r0.apk2024-12-25 18:53 76K 
[   ]py3-minikerberos-pyc-0.4.7-r0.apk2025-07-28 21:24 282K 
[   ]py3-minikerberos-0.4.7-r0.apk2025-07-28 21:24 136K 
[   ]py3-minidump-pyc-0.0.24-r1.apk2025-05-29 12:09 129K 
[   ]py3-minidump-0.0.24-r1.apk2025-05-29 12:09 63K 
[   ]py3-minidb-pyc-2.0.8-r0.apk2024-11-13 18:52 23K 
[   ]py3-minidb-2.0.8-r0.apk2024-11-13 18:52 9.8K 
[   ]py3-milc-pyc-1.9.1-r0.apk2025-01-25 16:05 41K 
[   ]py3-milc-1.9.1-r0.apk2025-01-25 16:05 26K 
[   ]py3-migen-pyc-0.9.2-r2.apk2024-10-25 20:54 295K 
[   ]py3-migen-0.9.2-r2.apk2024-10-25 20:54 142K 
[   ]py3-meshtastic-2.7.2-r0.apk2025-10-03 08:45 540K 
[   ]py3-mbedtls-pyc-2.10.1-r3.apk2025-05-29 12:09 27K 
[   ]py3-mbedtls-2.10.1-r3.apk2025-05-29 12:09 947K 
[   ]py3-marshmallow-pyc-3.26.1-r0.apk2025-02-21 22:42 85K 
[   ]py3-marshmallow-enum-pyc-1.5.1-r7.apk2024-10-25 20:54 4.2K 
[   ]py3-marshmallow-enum-1.5.1-r7.apk2024-10-25 20:54 5.0K 
[   ]py3-marshmallow-3.26.1-r0.apk2025-02-21 22:42 47K 
[   ]py3-markdownify-pyc-1.2.0-r0.apk2025-08-11 12:36 18K 
[   ]py3-markdownify-1.2.0-r0.apk2025-08-11 12:36 16K 
[   ]py3-markdown2-pyc-2.5.0-r0.apk2024-10-25 20:54 75K 
[   ]py3-markdown2-2.5.0-r0.apk2024-10-25 20:54 47K 
[   ]py3-marisa-trie-1.3.1-r0.apk2025-08-29 09:32 133K 
[   ]py3-mapbox-earcut-1.0.1-r2.apk2024-10-25 20:54 64K 
[   ]py3-manuel-pyc-1.13.0-r0.apk2024-11-30 20:42 25K 
[   ]py3-manuel-1.13.0-r0.apk2024-11-30 20:42 39K 
[   ]py3-mando-pyc-0.7.1-r3.apk2024-10-25 20:54 36K 
[   ]py3-mando-doc-0.7.1-r3.apk2024-10-25 20:54 3.9K 
[   ]py3-mando-0.7.1-r3.apk2024-10-25 20:54 22K 
[   ]py3-maidenhead-pyc-1.8.0-r0.apk2025-08-10 21:05 7.7K 
[   ]py3-maidenhead-doc-1.8.0-r0.apk2025-08-10 21:05 3.4K 
[   ]py3-maidenhead-1.8.0-r0.apk2025-08-10 21:05 7.7K 
[   ]py3-m2crypto-pyc-0.41.0-r2.apk2024-10-25 20:54 122K 
[   ]py3-m2crypto-0.41.0-r2.apk2024-10-25 20:54 195K 
[   ]py3-lzo-pyc-1.16-r1.apk2024-10-25 20:54 1.7K 
[   ]py3-lzo-1.16-r1.apk2024-10-25 20:54 17K 
[   ]py3-ly-pyc-0.9.9-r0.apk2025-07-12 21:56 352K 
[   ]py3-ly-doc-0.9.9-r0.apk2025-07-12 21:56 7.8K 
[   ]py3-ly-0.9.9-r0.apk2025-07-12 21:56 186K 
[   ]py3-lxmf-pyc-0.8.0-r0.apk2025-10-03 08:45 109K 
[   ]py3-lxmf-0.8.0-r0.apk2025-10-03 08:45 51K 
[   ]py3-lunr-pyc-0.6.2-r4.apk2024-10-25 20:54 50K 
[   ]py3-lunr-0.6.2-r4.apk2024-10-25 20:54 32K 
[   ]py3-luhn-pyc-0.2.0-r9.apk2024-10-25 20:54 2.4K 
[   ]py3-luhn-0.2.0-r9.apk2024-10-25 20:54 3.7K 
[   ]py3-lsprotocol-pyc-2023.0.1-r1.apk2024-10-25 20:54 107K 
[   ]py3-lsprotocol-2023.0.1-r1.apk2024-10-25 20:54 69K 
[   ]py3-lsp-mypy-pyc-0.7.0-r1.apk2025-08-30 01:40 13K 
[   ]py3-lsp-mypy-0.7.0-r1.apk2025-08-30 01:40 13K 
[   ]py3-lsp-black-pyc-2.0.0-r1.apk2024-10-25 20:54 6.1K 
[   ]py3-lsp-black-2.0.0-r1.apk2024-10-25 20:54 7.2K 
[   ]py3-logtop-pyc-0.7-r1.apk2025-08-09 12:15 3.8K 
[   ]py3-logtop-0.7-r1.apk2025-08-09 12:15 23K 
[   ]py3-log-symbols-pyc-0.0.14-r5.apk2024-10-25 20:54 2.8K 
[   ]py3-log-symbols-0.0.14-r5.apk2024-10-25 20:54 3.9K 
[   ]py3-livestream-pyc-2.1.0-r0.apk2024-11-25 22:30 30K 
[   ]py3-livestream-2.1.0-r0.apk2024-11-25 22:30 766K 
[   ]py3-litex-hub-valentyusb-2024.04-r0.apk2024-10-25 20:54 111K 
[   ]py3-litex-hub-pythondata-software-picolibc-2024.04-r0.apk2024-10-25 20:54 4.7M 
[   ]py3-litex-hub-pythondata-software-compiler_rt-2024.04-r0.apk2024-10-25 20:54 2.2M 
[   ]py3-litex-hub-pythondata-misc-tapcfg-2024.04-r0.apk2024-10-25 20:54 58K 
[   ]py3-litex-hub-pythondata-cpu-vexriscv_smp-2024.04-r0.apk2024-10-25 20:54 2.4M 
[   ]py3-litex-hub-pythondata-cpu-vexriscv-2024.04-r0.apk2024-10-25 20:54 712K 
[   ]py3-litex-hub-pythondata-cpu-serv-2024.04-r0.apk2024-10-25 20:54 675K 
[   ]py3-litex-hub-pythondata-cpu-rocket-2024.04-r0.apk2024-10-25 20:54 57M 
[   ]py3-litex-hub-pythondata-cpu-picorv32-2024.04-r0.apk2024-10-25 20:54 230K 
[   ]py3-litex-hub-pythondata-cpu-naxriscv-2024.04-r0.apk2024-10-25 20:54 7.3K 
[   ]py3-litex-hub-pythondata-cpu-mor1kx-2024.04-r0.apk2024-10-25 20:54 221K 
[   ]py3-litex-hub-pythondata-cpu-minerva-2024.04-r0.apk2024-10-25 20:54 45K 
[   ]py3-litex-hub-pythondata-cpu-microwatt-2024.04-r0.apk2024-10-25 20:54 19M 
[   ]py3-litex-hub-pythondata-cpu-marocchino-2024.04-r0.apk2024-10-25 20:54 208K 
[   ]py3-litex-hub-pythondata-cpu-lm32-2024.04-r0.apk2024-10-25 20:54 112K 
[   ]py3-litex-hub-pythondata-cpu-ibex-2024.04-r0.apk2024-10-25 20:54 1.8M 
[   ]py3-litex-hub-pythondata-cpu-cva6-2024.04-r0.apk2024-10-25 20:54 10M 
[   ]py3-litex-hub-pythondata-cpu-cva5-2024.04-r0.apk2024-10-25 20:54 500K 
[   ]py3-litex-hub-pythondata-cpu-cv32e41p-2024.04-r0.apk2024-10-25 20:54 934K 
[   ]py3-litex-hub-pythondata-cpu-cv32e40p-2024.04-r0.apk2024-10-25 20:54 1.9M 
[   ]py3-litex-hub-pythondata-cpu-blackparrot-2024.04-r0.apk2024-10-25 20:54 5.6M 
[   ]py3-litex-hub-modules-pyc-2024.04-r0.apk2024-10-25 20:54 1.1M 
[   ]py3-litex-hub-modules-2024.04-r0.apk2024-10-25 20:54 1.4K 
[   ]py3-linux-procfs-pyc-0.7.3-r0.apk2025-01-13 21:19 22K 
[   ]py3-linux-procfs-0.7.3-r0.apk2025-01-13 21:19 13K 
[   ]py3-linkify-it-py-pyc-2.0.3-r1.apk2024-10-25 20:54 23K 
[   ]py3-linkify-it-py-2.0.3-r1.apk2024-10-25 20:54 21K 
[   ]py3-limits-pyc-3.14.1-r0.apk2024-12-25 18:53 71K 
[   ]py3-limits-3.14.1-r0.apk2024-12-25 18:53 33K 
[   ]py3-librtmp-pyc-0.3.0-r6.apk2024-10-25 20:54 24K 
[   ]py3-librtmp-0.3.0-r6.apk2024-10-25 20:54 35K 
[   ]py3-libpyshell-pyc-0.4.1-r1.apk2025-06-10 09:39 18K 
[   ]py3-libpyshell-0.4.1-r1.apk2025-06-10 09:39 11K 
[   ]py3-libnacl-pyc-2.1.0-r1.apk2024-10-25 20:54 30K 
[   ]py3-libnacl-2.1.0-r1.apk2024-10-25 20:54 20K 
[   ]py3-liblarch-pyc-3.2.0-r6.apk2024-12-08 21:45 49K 
[   ]py3-liblarch-3.2.0-r6.apk2024-12-08 21:45 29K 
[   ]py3-libiio-0.25-r2.apk2024-10-25 20:54 12K 
[   ]py3-libguestfs-1.56.1-r0.apk2025-07-22 22:10 187K 
[   ]py3-libacl-0.7.0-r2.apk2024-10-25 20:54 26K 
[   ]py3-lib_users-pyc-0.15-r4.apk2024-10-25 20:54 9.2K 
[   ]py3-lib_users-0.15-r4.apk2024-10-25 20:54 15K 
[   ]py3-latex2mathml-pyc-3.78.1-r1.apk2025-10-03 08:45 35K 
[   ]py3-latex2mathml-3.78.1-r1.apk2025-10-03 08:45 72K 
[   ]py3-language-data-pyc-1.3.0-r0.apk2024-12-01 20:08 3.0M 
[   ]py3-language-data-1.3.0-r0.apk2024-12-01 20:08 5.0M 
[   ]py3-langcodes-pyc-3.3.0-r2.apk2024-10-25 20:54 109K 
[   ]py3-langcodes-3.3.0-r2.apk2024-10-25 20:54 173K 
[   ]py3-landlock-pyc-1.0.0_pre4-r2.apk2024-10-25 20:54 9.3K 
[   ]py3-landlock-1.0.0_pre4-r2.apk2024-10-25 20:54 8.1K 
[   ]py3-kerberos-1.3.1-r5.apk2024-10-25 20:54 17K 
[   ]py3-keepalive-pyc-0.5-r5.apk2024-10-25 20:54 13K 
[   ]py3-keepalive-doc-0.5-r5.apk2024-10-25 20:54 1.7K 
[   ]py3-keepalive-0.5-r5.apk2024-10-25 20:54 8.7K 
[   ]py3-kazoo-pyc-0_git20211202-r4.apk2024-10-25 20:54 244K 
[   ]py3-kazoo-0_git20211202-r4.apk2024-10-25 20:54 125K 
[   ]py3-junit-xml-pyc-1.9-r3.apk2024-10-25 20:54 9.1K 
[   ]py3-junit-xml-1.9-r3.apk2024-10-25 20:54 8.1K 
[   ]py3-joserfc-pyc-1.4.0-r0.apk2025-10-09 14:46 107K 
[   ]py3-joserfc-1.4.0-r0.apk2025-10-09 14:46 53K 
[   ]py3-jaraco.versioning-pyc-1.1.0-r0.apk2024-10-25 20:54 5.8K 
[   ]py3-jaraco.versioning-1.1.0-r0.apk2024-10-25 20:54 5.6K 
[   ]py3-jaraco.vcs-pyc-2.4.1-r0.apk2025-03-09 09:09 16K 
[   ]py3-jaraco.vcs-2.4.1-r0.apk2025-03-09 09:09 9.9K 
[   ]py3-jaraco.stream-pyc-3.0.4-r0.apk2024-12-14 22:52 7.8K 
[   ]py3-jaraco.stream-3.0.4-r0.apk2024-12-14 22:52 6.5K 
[   ]py3-jaraco.path-pyc-3.7.2-r0.apk2024-10-25 20:54 9.3K 
[   ]py3-jaraco.path-3.7.2-r0.apk2024-10-25 20:54 7.4K 
[   ]py3-jaraco.logging-pyc-3.4.0-r0.apk2025-06-17 22:20 5.9K 
[   ]py3-jaraco.logging-3.4.0-r0.apk2025-06-17 22:20 5.1K 
[   ]py3-janus-pyc-1.2.0-r0.apk2024-12-13 04:58 13K 
[   ]py3-janus-1.2.0-r0.apk2024-12-13 04:58 12K 
[   ]py3-itunespy-pyc-1.6-r5.apk2025-05-14 18:20 14K 
[   ]py3-itunespy-1.6-r5.apk2025-05-14 18:20 9.5K 
[   ]py3-iterable-io-pyc-1.0.0-r0.apk2024-10-25 20:54 5.0K 
[   ]py3-iterable-io-1.0.0-r0.apk2024-10-25 20:54 5.8K 
[   ]py3-itemloaders-pyc-1.3.2-r1.apk2025-08-09 12:15 16K 
[   ]py3-itemloaders-1.3.2-r1.apk2025-08-09 12:15 12K 
[   ]py3-itemadapter-pyc-0.10.0-r0.apk2024-11-30 20:42 12K 
[   ]py3-itemadapter-0.10.0-r0.apk2024-11-30 20:42 11K 
[   ]py3-iso639-lang-pyc-2.2.3-r0.apk2024-10-25 20:54 9.4K 
[   ]py3-iso639-lang-2.2.3-r0.apk2024-10-25 20:54 269K 
[   ]py3-isbnlib-pyc-3.10.14-r0.apk2025-01-19 11:58 66K 
[   ]py3-isbnlib-3.10.14-r0.apk2025-01-19 11:58 42K 
[   ]py3-irc-pyc-20.4.1-r1.apk2025-10-06 06:09 70K 
[   ]py3-irc-20.4.1-r1.apk2025-10-06 06:09 40K 
[   ]py3-ioctl-opt-pyc-1.3-r0.apk2025-01-27 21:38 4.4K 
[   ]py3-ioctl-opt-1.3-r0.apk2025-01-27 21:38 11K 
[   ]py3-intervals-pyc-0.9.2-r5.apk2024-10-25 20:54 15K 
[   ]py3-intervals-0.9.2-r5.apk2024-10-25 20:54 9.2K 
[   ]py3-iniparse-pyc-0.5-r7.apk2024-10-25 20:54 24K 
[   ]py3-iniparse-doc-0.5-r7.apk2024-10-25 20:54 10K 
[   ]py3-iniparse-0.5-r7.apk2024-10-25 20:54 18K 
[   ]py3-infinity-pyc-1.5-r6.apk2024-10-25 20:54 3.5K 
[   ]py3-infinity-1.5-r6.apk2024-10-25 20:54 4.1K 
[   ]py3-incoming-pyc-0.3.1-r8.apk2024-10-25 20:54 20K 
[   ]py3-incoming-0.3.1-r8.apk2024-10-25 20:54 13K 
[   ]py3-imdbpy-pyc-2021.4.18-r5.apk2024-10-25 20:54 242K 
[   ]py3-imdbpy-2021.4.18-r5.apk2024-10-25 20:54 229K 
[   ]py3-imageio-ffmpeg-pyc-0.4.9-r1.apk2024-10-25 20:54 20K 
[   ]py3-imageio-ffmpeg-0.4.9-r1.apk2024-10-25 20:54 16K 
[   ]py3-igraph-pyc-0.11.9-r0.apk2025-06-11 20:20 371K 
[   ]py3-igraph-dev-0.11.9-r0.apk2025-06-11 20:20 2.3K 
[   ]py3-igraph-0.11.9-r0.apk2025-06-11 20:20 407K 
[   ]py3-hypercorn-pyc-0.17.3-r0.apk2025-07-23 06:27 120K 
[   ]py3-hypercorn-0.17.3-r0.apk2025-07-23 06:27 47K 
[   ]py3-hurry.filesize-pyc-0.9-r8.apk2024-10-25 20:54 3.0K 
[   ]py3-hurry.filesize-0.9-r8.apk2024-10-25 20:54 4.4K 
[   ]py3-html5-parser-pyc-0.4.12-r1.apk2024-10-25 20:54 22K 
[   ]py3-html5-parser-0.4.12-r1.apk2024-10-25 20:54 173K 
[   ]py3-hishel-pyc-0.1.3-r0.apk2025-07-08 23:27 73K 
[   ]py3-hishel-0.1.3-r0.apk2025-07-08 23:27 33K 
[   ]py3-himitsu-pyc-0.0.9-r0.apk2025-08-25 10:58 7.3K 
[   ]py3-himitsu-0.0.9-r0.apk2025-08-25 10:58 5.5K 
[   ]py3-highctidh-pyc-1.0.2024092800-r0.apk2024-11-25 20:41 11K 
[   ]py3-highctidh-1.0.2024092800-r0.apk2024-11-25 20:41 407K 
[   ]py3-hg-git-pyc-1.1.1-r1.apk2024-10-25 20:54 107K 
[   ]py3-hg-git-1.1.1-r1.apk2024-10-25 20:54 70K 
[   ]py3-hfst-3.16.2-r0.apk2025-03-28 16:14 368K 
[   ]py3-helper-pyc-2.5.0-r5.apk2024-10-25 20:54 28K 
[   ]py3-helper-2.5.0-r5.apk2024-10-25 20:54 19K 
[   ]py3-hatch-openzim-pyc-0.2.0-r0.apk2024-10-25 20:54 13K 
[   ]py3-hatch-openzim-bootstrap-pyc-0.1.0-r0.apk2024-10-25 20:54 12K 
[   ]py3-hatch-openzim-bootstrap-0.1.0-r0.apk2024-10-25 20:54 24K 
[   ]py3-hatch-openzim-0.2.0-r0.apk2024-10-25 20:54 24K 
[   ]py3-halo-pyc-0.0.31-r5.apk2024-10-25 20:54 14K 
[   ]py3-halo-0.0.31-r5.apk2024-10-25 20:54 11K 
[   ]py3-gtkspellcheck-pyc-5.0.3-r1.apk2025-08-09 12:15 29K 
[   ]py3-gtkspellcheck-5.0.3-r1.apk2025-08-09 12:15 45K 
[   ]py3-grequests-pyc-0.7.0-r3.apk2025-05-14 18:20 5.6K 
[   ]py3-grequests-0.7.0-r3.apk2025-05-14 18:20 6.5K 
[   ]py3-googletrans-pyc-3.0.0-r5.apk2025-05-14 17:30 17K 
[   ]py3-googletrans-3.0.0-r5.apk2025-05-14 17:30 15K 
[   ]py3-google-trans-new-pyc-1.1.9-r2.apk2024-10-25 20:54 10K 
[   ]py3-google-trans-new-1.1.9-r2.apk2024-10-25 20:54 9.0K 
[   ]py3-gls-pyc-1.3.1-r1.apk2024-10-25 20:54 84K 
[   ]py3-gls-1.3.1-r1.apk2024-10-25 20:54 47K 
[   ]py3-glob2-pyc-0.7-r6.apk2024-10-25 20:54 13K 
[   ]py3-glob2-0.7-r6.apk2024-10-25 20:54 10K 
[   ]py3-github3-pyc-4.0.1-r1.apk2024-10-25 20:54 227K 
[   ]py3-github3-4.0.1-r1.apk2024-10-25 20:54 128K 
[   ]py3-git-versioner-pyc-7.1-r1.apk2024-10-25 20:54 13K 
[   ]py3-git-versioner-7.1-r1.apk2024-10-25 20:54 12K 
[   ]py3-gevent-websocket-pyc-0.10.1-r8.apk2024-10-25 20:54 30K 
[   ]py3-gevent-websocket-0.10.1-r8.apk2024-10-25 20:54 19K 
[   ]py3-geoip-1.3.2-r4.apk2024-10-25 20:54 23K 
[   ]py3-gdcm-3.2.1-r0.apk2025-10-03 08:45 685K 
[   ]py3-furl-pyc-2.1.4-r0.apk2025-07-13 21:53 32K 
[   ]py3-furl-2.1.4-r0.apk2025-07-13 21:53 27K 
[   ]py3-freetype-py-2.5.1-r0.apk2024-10-25 20:54 161K 
[   ]py3-fpdf-pyc-1.7.2-r5.apk2024-10-25 20:54 89K 
[   ]py3-fpdf-1.7.2-r5.apk2024-10-25 20:54 39K 
[   ]py3-forbiddenfruit-pyc-0.1.4-r2.apk2024-10-25 20:54 9.4K 
[   ]py3-forbiddenfruit-0.1.4-r2.apk2024-10-25 20:54 8.7K 
[   ]py3-flask-themer-pyc-2.0.0-r2.apk2024-10-25 20:54 6.7K 
[   ]py3-flask-themer-2.0.0-r2.apk2024-10-25 20:54 7.6K 
[   ]py3-flask-socketio-pyc-5.5.1-r0.apk2025-09-06 17:18 26K 
[   ]py3-flask-socketio-doc-5.5.1-r0.apk2025-09-06 17:18 23K 
[   ]py3-flask-socketio-5.5.1-r0.apk2025-09-06 17:18 18K 
[   ]py3-flask-security-pyc-5.6.1-r0.apk2025-04-21 21:40 227K 
[   ]py3-flask-security-5.6.1-r0.apk2025-04-21 21:40 294K 
[   ]py3-flask-restless-pyc-0.17.0-r9.apk2024-10-25 20:54 59K 
[   ]py3-flask-restless-0.17.0-r9.apk2024-10-25 20:54 40K 
[   ]py3-flask-restaction-pyc-0.25.3-r8.apk2024-10-25 20:54 20K 
[   ]py3-flask-restaction-0.25.3-r8.apk2024-10-25 20:54 115K 
[   ]py3-flask-qrcode-pyc-3.2.0-r0.apk2024-12-12 06:32 5.9K 
[   ]py3-flask-qrcode-3.2.0-r0.apk2024-12-12 06:32 18K 
[   ]py3-flask-peewee-pyc-3.0.6-r0.apk2024-10-25 20:54 95K 
[   ]py3-flask-peewee-3.0.6-r0.apk2024-10-25 20:54 172K 
[   ]py3-flask-paginate-pyc-0.8.1-r6.apk2024-10-25 20:54 11K 
[   ]py3-flask-paginate-0.8.1-r6.apk2024-10-25 20:54 8.0K 
[   ]py3-flask-migrate-pyc-4.1.0-r0.apk2025-07-13 21:54 18K 
[   ]py3-flask-migrate-4.1.0-r0.apk2025-07-13 21:54 13K 
[   ]py3-flask-markdown-pyc-0.3-r8.apk2024-10-25 20:54 3.6K 
[   ]py3-flask-markdown-0.3-r8.apk2024-10-25 20:54 5.4K 
[   ]py3-flask-mailman-pyc-1.1.1-r0.apk2024-10-25 20:54 25K 
[   ]py3-flask-mailman-1.1.1-r0.apk2024-10-25 20:54 16K 
[   ]py3-flask-loopback-pyc-1.4.7-r7.apk2024-10-25 20:54 7.7K 
[   ]py3-flask-loopback-1.4.7-r7.apk2024-10-25 20:54 5.3K 
[   ]py3-flask-limiter-pyc-3.10.1-r0.apk2025-01-19 16:40 47K 
[   ]py3-flask-limiter-3.10.1-r0.apk2025-01-19 16:40 26K 
[   ]py3-flask-json-schema-pyc-0.0.5-r4.apk2024-10-25 20:54 3.2K 
[   ]py3-flask-json-schema-0.0.5-r4.apk2024-10-25 20:54 3.8K 
[   ]py3-flask-httpauth-pyc-4.8.0-r2.apk2024-10-25 20:54 10K 
[   ]py3-flask-httpauth-4.8.0-r2.apk2024-10-25 20:54 7.7K 
[   ]py3-flask-headers-pyc-1.0-r9.apk2024-10-25 20:54 2.2K 
[   ]py3-flask-headers-1.0-r9.apk2024-10-25 20:54 2.9K 
[   ]py3-flask-gzip-pyc-0.2-r8.apk2024-10-25 20:54 2.6K 
[   ]py3-flask-gzip-0.2-r8.apk2024-10-25 20:54 2.9K 
[   ]py3-flask-flatpages-pyc-0.8.3-r0.apk2024-12-06 22:58 13K 
[   ]py3-flask-flatpages-0.8.3-r0.apk2024-12-06 22:58 10K 
[   ]py3-flask-dbconfig-pyc-0.3.12-r8.apk2024-10-25 20:54 6.0K 
[   ]py3-flask-dbconfig-0.3.12-r8.apk2024-10-25 20:54 85K 
[   ]py3-flask-components-pyc-0.1.1-r9.apk2024-10-25 20:54 3.0K 
[   ]py3-flask-components-0.1.1-r9.apk2024-10-25 20:54 3.7K 
[   ]py3-flask-cdn-pyc-1.5.3-r8.apk2024-10-25 20:54 3.8K 
[   ]py3-flask-cdn-1.5.3-r8.apk2024-10-25 20:54 4.5K 
[   ]py3-flask-cache-pyc-0.13.1-r9.apk2024-10-25 20:54 18K 
[   ]py3-flask-cache-0.13.1-r9.apk2024-10-25 20:54 13K 
[   ]py3-flask-bootstrap-pyc-3.3.7.1-r9.apk2025-05-14 17:30 11K 
[   ]py3-flask-bootstrap-3.3.7.1-r9.apk2025-05-14 17:30 448K 
[   ]py3-flask-bcrypt-pyc-1.0.1-r5.apk2024-10-25 20:54 5.5K 
[   ]py3-flask-bcrypt-1.0.1-r5.apk2024-10-25 20:54 6.9K 
[   ]py3-flask-basicauth-pyc-0.2.0-r9.apk2024-10-25 20:54 3.8K 
[   ]py3-flask-basicauth-0.2.0-r9.apk2024-10-25 20:54 5.1K 
[   ]py3-flask-autorouter-pyc-0.2.2-r3.apk2024-10-25 20:54 4.7K 
[   ]py3-flask-autorouter-0.2.2-r3.apk2024-10-25 20:54 4.8K 
[   ]py3-flask-admin-pyc-1.6.1-r3.apk2024-10-25 20:54 358K 
[   ]py3-flask-admin-1.6.1-r3.apk2024-10-25 20:54 6.5M 
[   ]py3-flask-accept-pyc-0.0.7-r0.apk2025-07-12 15:31 3.4K 
[   ]py3-flask-accept-0.0.7-r0.apk2025-07-12 15:31 5.3K 
[   ]py3-flake8-todo-pyc-0.7-r7.apk2024-10-25 20:54 2.0K 
[   ]py3-flake8-todo-0.7-r7.apk2024-10-25 20:54 3.3K 
[   ]py3-flake8-snippets-pyc-0.2-r8.apk2024-10-25 20:54 3.4K 
[   ]py3-flake8-snippets-0.2-r8.apk2024-10-25 20:54 5.1K 
[   ]py3-flake8-print-pyc-5.0.0-r5.apk2024-10-25 20:54 4.2K 
[   ]py3-flake8-print-5.0.0-r5.apk2024-10-25 20:54 6.5K 
[   ]py3-flake8-polyfill-pyc-1.0.2-r5.apk2024-10-25 20:54 5.4K 
[   ]py3-flake8-polyfill-1.0.2-r5.apk2024-10-25 20:54 6.8K 
[   ]py3-flake8-isort-pyc-6.1.1-r1.apk2024-10-25 20:54 5.1K 
[   ]py3-flake8-isort-6.1.1-r1.apk2024-10-25 20:54 18K 
[   ]py3-flake8-import-order-pyc-0.18.2-r4.apk2024-10-25 20:54 17K 
[   ]py3-flake8-import-order-0.18.2-r4.apk2024-10-25 20:54 15K 
[   ]py3-flake8-debugger-pyc-4.1.2-r4.apk2024-10-25 20:54 5.7K 
[   ]py3-flake8-debugger-4.1.2-r4.apk2024-10-25 20:54 6.0K 
[   ]py3-flake8-copyright-pyc-0.2.4-r3.apk2024-10-25 20:54 3.1K 
[   ]py3-flake8-copyright-0.2.4-r3.apk2024-10-25 20:54 18K 
[   ]py3-flake8-builtins-pyc-2.5.0-r0.apk2024-12-07 21:51 7.6K 
[   ]py3-flake8-builtins-2.5.0-r0.apk2024-12-07 21:51 12K 
[   ]py3-flake8-blind-except-pyc-0.2.1-r4.apk2024-10-25 20:54 2.3K 
[   ]py3-flake8-blind-except-0.2.1-r4.apk2024-10-25 20:54 4.9K 
[   ]py3-firmata-pyc-1.0.3-r10.apk2024-10-25 20:54 21K 
[   ]py3-firmata-1.0.3-r10.apk2024-10-25 20:54 14K 
[   ]py3-ffmpeg-pyc-0.2.0-r5.apk2025-05-14 18:20 32K 
[   ]py3-ffmpeg-0.2.0-r5.apk2025-05-14 18:20 23K 
[   ]py3-feedgenerator-pyc-2.1.0-r2.apk2024-10-25 20:54 26K 
[   ]py3-feedgenerator-2.1.0-r2.apk2024-10-25 20:54 18K 
[   ]py3-feedgen-pyc-1.0.0-r1.apk2024-10-25 20:54 61K 
[   ]py3-feedgen-1.0.0-r1.apk2024-10-25 20:54 40K 
[   ]py3-fastdiff-pyc-0.3.0-r5.apk2024-10-25 20:54 3.9K 
[   ]py3-fastdiff-0.3.0-r5.apk2024-10-25 20:54 38K 
[   ]py3-fastavro-pyc-1.12.0-r0.apk2025-08-01 02:40 82K 
[   ]py3-fastavro-1.12.0-r0.apk2025-08-01 02:40 434K 
[   ]py3-evohome-client-pyc-0.3.9-r0.apk2025-07-13 21:33 27K 
[   ]py3-evohome-client-0.3.9-r0.apk2025-07-13 21:33 19K 
[   ]py3-eventlet-pyc-0.38.1-r0.apk2024-12-11 21:38 335K 
[   ]py3-eventlet-0.38.1-r0.apk2024-12-11 21:38 332K 
[   ]py3-evalidate-pyc-2.0.5-r0.apk2025-08-25 09:06 6.1K 
[   ]py3-evalidate-2.0.5-r0.apk2025-08-25 09:06 9.1K 
[   ]py3-euclid3-pyc-0.01-r8.apk2024-10-25 20:54 32K 
[   ]py3-euclid3-0.01-r8.apk2024-10-25 20:54 14K 
[   ]py3-eradicate-pyc-2.3.0-r2.apk2024-10-25 20:54 8.1K 
[   ]py3-eradicate-doc-2.3.0-r2.apk2024-10-25 20:54 2.3K 
[   ]py3-eradicate-2.3.0-r2.apk2024-10-25 20:54 7.3K 
[   ]py3-enzyme-pyc-0.5.2-r0.apk2025-07-12 15:31 19K 
[   ]py3-enzyme-0.5.2-r0.apk2025-07-12 15:31 22K 
[   ]py3-enlighten-pyc-1.14.1-r0.apk2025-08-28 09:32 46K 
[   ]py3-enlighten-1.14.1-r0.apk2025-08-28 09:32 37K 
[   ]py3-engineio-pyc-4.12.2-r0.apk2025-09-06 17:18 104K 
[   ]py3-engineio-doc-4.12.2-r0.apk2025-09-06 17:18 33K 
[   ]py3-engineio-4.12.2-r0.apk2025-09-06 17:18 48K 
[   ]py3-empy-pyc-3.3.4-r7.apk2024-10-25 20:54 58K 
[   ]py3-empy-3.3.4-r7.apk2024-10-25 20:54 39K 
[   ]py3-editdistance-s-pyc-1.0.0-r6.apk2024-10-25 20:54 1.8K 
[   ]py3-editdistance-s-1.0.0-r6.apk2024-10-25 20:54 15K 
[   ]py3-edalize-pyc-0.5.4-r0.apk2024-10-25 20:54 190K 
[   ]py3-edalize-0.5.4-r0.apk2024-10-25 20:54 122K 
[   ]py3-ecos-pyc-2.0.11-r4.apk2024-10-25 20:54 3.4K 
[   ]py3-ecos-2.0.11-r4.apk2024-10-25 20:54 28K 
[   ]py3-ecbdata-0.1.1-r0.apk2025-04-14 00:18 13K 
[   ]py3-dweepy-pyc-0.3.0-r7.apk2024-10-25 20:54 6.0K 
[   ]py3-dweepy-0.3.0-r7.apk2024-10-25 20:54 8.8K 
[   ]py3-duniterpy-1.1.1-r3.apk2024-10-25 20:54 221K 
[   ]py3-dunamai-pyc-1.25.0-r0.apk2025-07-25 22:24 44K 
[   ]py3-dunamai-1.25.0-r0.apk2025-07-25 22:24 27K 
[   ]py3-drf-yasg-pyc-1.21.10-r0.apk2025-07-15 08:29 98K 
[   ]py3-drf-yasg-1.21.10-r0.apk2025-07-15 08:29 4.0M 
[   ]py3-dpath-pyc-2.2.0-r0.apk2024-10-25 20:53 18K 
[   ]py3-dpath-2.2.0-r0.apk2024-10-25 20:53 17K 
[   ]py3-downloader-cli-pyc-0.3.4-r2.apk2025-05-14 18:20 14K 
[   ]py3-downloader-cli-0.3.4-r2.apk2025-05-14 18:20 11K 
[   ]py3-dotty-dict-pyc-1.3.1-r4.apk2024-10-25 20:53 8.4K 
[   ]py3-dotty-dict-1.3.1-r4.apk2024-10-25 20:53 8.1K 
[   ]py3-dominate-pyc-2.9.1-r1.apk2024-10-25 20:53 33K 
[   ]py3-dominate-2.9.1-r1.apk2024-10-25 20:53 24K 
[   ]py3-doit-pyc-0.36.0-r5.apk2024-10-25 20:53 133K 
[   ]py3-doit-0.36.0-r5.apk2024-10-25 20:53 76K 
[   ]py3-doi-pyc-0.2-r0.apk2025-04-12 12:09 4.5K 
[   ]py3-doi-0.2-r0.apk2025-04-12 12:09 5.9K 
[   ]py3-dogpile.cache-pyc-1.3.3-r1.apk2025-05-14 18:20 90K 
[   ]py3-dogpile.cache-1.3.3-r1.apk2025-05-14 18:20 52K 
[   ]py3-dnslib-pyc-0.9.26-r0.apk2025-09-01 18:15 109K 
[   ]py3-dnslib-0.9.26-r0.apk2025-09-01 18:15 56K 
[   ]py3-django-taggit-serializer-pyc-0.1.7-r8.apk2024-10-25 20:53 4.7K 
[   ]py3-django-taggit-serializer-0.1.7-r8.apk2024-10-25 20:53 3.8K 
[   ]py3-django-suit-pyc-0.2.28-r8.apk2024-10-25 20:53 32K 
[   ]py3-django-suit-0.2.28-r8.apk2024-10-25 20:53 366K 
[   ]py3-django-compress-staticfiles-pyc-1.0.1_beta0-r6.apk2024-10-25 20:53 15K 
[   ]py3-django-compress-staticfiles-1.0.1_beta0-r6.apk2024-10-25 20:53 14K 
[   ]py3-distorm3-pyc-3.5.2-r6.apk2024-10-25 20:53 48K 
[   ]py3-distorm3-3.5.2-r6.apk2024-10-25 20:53 47K 
[   ]py3-discid-pyc-1.3.0-r0.apk2025-07-28 21:30 13K 
[   ]py3-discid-1.3.0-r0.apk2025-07-28 21:30 12K 
[   ]py3-dexml-pyc-0.5.1-r9.apk2024-10-25 20:53 37K 
[   ]py3-dexml-0.5.1-r9.apk2024-10-25 20:53 22K 
[   ]py3-deluge-client-pyc-1.10.2-r0.apk2024-10-25 20:53 19K 
[   ]py3-deluge-client-doc-1.10.2-r0.apk2024-10-25 20:53 2.0K 
[   ]py3-deluge-client-1.10.2-r0.apk2024-10-25 20:53 13K 
[   ]py3-dbus-fast-pyc-2.44.3-r0.apk2025-08-10 20:16 129K 
[   ]py3-dbus-fast-doc-2.44.3-r0.apk2025-08-10 20:16 6.0K 
[   ]py3-dbus-fast-2.44.3-r0.apk2025-08-10 20:16 877K 
[   ]py3-daterangestr-pyc-0.0.3-r8.apk2024-10-25 20:53 4.0K 
[   ]py3-daterangestr-0.0.3-r8.apk2024-10-25 20:53 4.1K 
[   ]py3-dateparser-pyc-1.2.2-r0.apk2025-07-06 19:47 335K 
[   ]py3-dateparser-1.2.2-r0.apk2025-07-06 19:47 216K 
[   ]py3-dataclasses-serialization-pyc-1.3.1-r3.apk2024-10-25 20:53 14K 
[   ]py3-dataclasses-serialization-1.3.1-r3.apk2024-10-25 20:53 11K 
[   ]py3-dataclasses-json-pyc-0.6.7-r0.apk2024-10-25 20:53 35K 
[   ]py3-dataclasses-json-0.6.7-r0.apk2024-10-25 20:53 27K 
[   ]py3-dash-bootstrap-components-1.6.0-r0.apk2025-04-13 09:25 16K 
[   ]py3-cython-test-exception-raiser-pyc-1.0.2-r0.apk2024-10-25 20:53 1.6K 
[   ]py3-cython-test-exception-raiser-1.0.2-r0.apk2024-10-25 20:53 18K 
[   ]py3-cvxpy-pyc-1.2.1-r5.apk2024-10-25 20:53 936K 
[   ]py3-cvxpy-1.2.1-r5.apk2024-10-25 20:53 692K 
[   ]py3-cucumber-tag-expressions-pyc-6.1.1-r0.apk2024-12-01 20:14 10K 
[   ]py3-cucumber-tag-expressions-6.1.1-r0.apk2024-12-01 20:14 8.4K 
[   ]py3-cstruct-pyc-6.1-r0.apk2025-08-21 23:08 37K 
[   ]py3-cstruct-6.1-r0.apk2025-08-21 23:08 23K 
[   ]py3-cssutils-pyc-2.11.1-r1.apk2024-10-25 20:53 278K 
[   ]py3-cssutils-2.11.1-r1.apk2024-10-25 20:53 155K 
[   ]py3-cryptg-pyc-0.5.0-r0.apk2025-05-08 12:40 1.6K 
[   ]py3-cryptg-0.5.0-r0.apk2025-05-08 12:40 202K 
[   ]py3-croniter-pyc-6.0.0-r0.apk2025-08-25 09:06 25K 
[   ]py3-croniter-6.0.0-r0.apk2025-08-25 09:06 26K 
[   ]py3-createrepo_c-pyc-1.1.4-r0.apk2024-10-25 20:53 14K 
[   ]py3-createrepo_c-1.1.4-r0.apk2024-10-25 20:53 43K 
[   ]py3-crc16-pyc-0.1.1-r10.apk2024-10-25 20:53 4.4K 
[   ]py3-crc16-0.1.1-r10.apk2024-10-25 20:53 12K 
[   ]py3-coreapi-pyc-2.3.3-r9.apk2024-10-25 20:53 43K 
[   ]py3-coreapi-2.3.3-r9.apk2024-10-25 20:53 22K 
[   ]py3-cookiecutter-pyc-2.6.0-r1.apk2024-10-25 20:53 47K 
[   ]py3-cookiecutter-doc-2.6.0-r1.apk2024-10-25 20:53 3.5K 
[   ]py3-cookiecutter-2.6.0-r1.apk2024-10-25 20:53 35K 
[   ]py3-confusable-homoglyphs-pyc-3.3.1-r0.apk2025-10-03 08:45 9.1K 
[   ]py3-confusable-homoglyphs-3.3.1-r0.apk2025-10-03 08:45 137K 
[   ]py3-confluent-kafka-pyc-2.9.0-r0.apk2025-05-15 09:44 227K 
[   ]py3-confluent-kafka-2.9.0-r0.apk2025-05-15 09:44 187K 
[   ]py3-compdb-pyc-0.2.0-r8.apk2024-10-25 20:53 39K 
[   ]py3-compdb-doc-0.2.0-r8.apk2024-10-25 20:53 2.8K 
[   ]py3-compdb-0.2.0-r8.apk2024-10-25 20:53 23K 
[   ]py3-columnize-pyc-0.3.11-r4.apk2024-10-25 20:53 7.2K 
[   ]py3-columnize-0.3.11-r4.apk2024-10-25 20:53 8.3K 
[   ]py3-colorthief-pyc-0.2.1-r1.apk2024-10-25 20:53 9.8K 
[   ]py3-colorthief-0.2.1-r1.apk2024-10-25 20:53 7.1K 
[   ]py3-colander-pyc-2.0-r2.apk2024-10-25 20:53 42K 
[   ]py3-colander-2.0-r2.apk2024-10-25 20:53 62K 
[   ]py3-cobs-pyc-1.2.0-r4.apk2024-10-25 20:53 12K 
[   ]py3-cobs-1.2.0-r4.apk2024-10-25 20:53 21K 
[   ]py3-cmd2-pyc-2.4.3-r2.apk2024-10-25 20:53 222K 
[   ]py3-cmd2-2.4.3-r2.apk2024-10-25 20:53 139K 
[   ]py3-clickclick-pyc-20.10.2-r4.apk2024-10-25 20:53 9.5K 
[   ]py3-clickclick-20.10.2-r4.apk2024-10-25 20:53 7.7K 
[   ]py3-click-threading-pyc-0.5.0-r5.apk2024-10-25 20:53 7.6K 
[   ]py3-click-threading-0.5.0-r5.apk2024-10-25 20:53 6.1K 
[   ]py3-click-default-group-pyc-1.2.4-r1.apk2024-10-25 20:53 4.3K 
[   ]py3-click-default-group-1.2.4-r1.apk2024-10-25 20:53 4.9K 
[   ]py3-click-completion-pyc-0.5.2-r1.apk2024-10-25 20:53 14K 
[   ]py3-click-completion-0.5.2-r1.apk2024-10-25 20:53 11K 
[   ]py3-class-doc-pyc-1.25-r1.apk2024-10-25 20:53 8.5K 
[   ]py3-class-doc-1.25-r1.apk2024-10-25 20:53 5.8K 
[   ]py3-clang-next-pyc-22.0.0_pre20250926-r0.apk2025-10-03 08:45 61K 
[   ]py3-clang-next-22.0.0_pre20250926-r0.apk2025-10-03 08:45 34K 
[   ]py3-cjkwrap-pyc-2.2-r6.apk2025-05-14 19:18 5.0K 
[   ]py3-cjkwrap-2.2-r6.apk2025-05-14 19:18 4.3K 
[   ]py3-ciso8601-2.3.2-r0.apk2025-08-03 09:57 16K 
[   ]py3-chameleon-pyc-4.6.0-r0.apk2025-07-06 09:21 130K 
[   ]py3-chameleon-4.6.0-r0.apk2025-07-06 09:21 97K 
[   ]py3-certauth-pyc-1.3.0-r1.apk2024-10-25 20:53 8.8K 
[   ]py3-certauth-1.3.0-r1.apk2024-10-25 20:53 8.4K 
[   ]py3-cdio-pyc-2.1.1-r6.apk2025-01-20 20:44 43K 
[   ]py3-cdio-2.1.1-r6.apk2025-01-20 20:44 101K 
[   ]py3-cchardet-pyc-2.1.7-r5.apk2024-10-25 20:53 2.8K 
[   ]py3-cchardet-2.1.7-r5.apk2024-10-25 20:53 125K 
[   ]py3-catkin-pkg-pyc-0.5.2-r4.apk2024-10-25 20:53 102K 
[   ]py3-catkin-pkg-0.5.2-r4.apk2024-10-25 20:53 57K 
[   ]py3-cassandra-driver-pyc-3.29.2-r0.apk2024-10-25 20:53 560K 
[   ]py3-cassandra-driver-3.29.2-r0.apk2024-10-25 20:53 286K 
[   ]py3-caldav-pyc-2.0.1-r0.apk2025-06-26 14:11 117K 
[   ]py3-caldav-2.0.1-r0.apk2025-06-26 14:11 87K 
[   ]py3-c3d-pyc-0.5.2-r1.apk2024-10-25 20:53 53K 
[   ]py3-c3d-0.5.2-r1.apk2024-10-25 20:53 32K 
[   ]py3-businesstime-pyc-0.3.0-r9.apk2024-10-25 20:53 16K 
[   ]py3-businesstime-0.3.0-r9.apk2024-10-25 20:53 10K 
[   ]py3-bson-pyc-0.5.10-r6.apk2024-10-25 20:53 18K 
[   ]py3-bson-0.5.10-r6.apk2024-10-25 20:53 11K 
[   ]py3-bottle-werkzeug-pyc-0.1.1-r9.apk2024-10-25 20:53 4.0K 
[   ]py3-bottle-werkzeug-0.1.1-r9.apk2024-10-25 20:53 3.8K 
[   ]py3-bottle-websocket-pyc-0.2.9-r8.apk2024-10-25 20:53 2.9K 
[   ]py3-bottle-websocket-0.2.9-r8.apk2024-10-25 20:53 4.4K 
[   ]py3-bottle-sqlite-pyc-0.2.0-r7.apk2024-10-25 20:53 5.0K 
[   ]py3-bottle-sqlite-0.2.0-r7.apk2024-10-25 20:53 4.4K 
[   ]py3-bottle-sqlalchemy-pyc-0.4.3-r8.apk2024-10-25 20:53 5.4K 
[   ]py3-bottle-sqlalchemy-0.4.3-r8.apk2024-10-25 20:53 4.6K 
[   ]py3-bottle-session-pyc-1.0-r6.apk2024-10-25 20:53 7.5K 
[   ]py3-bottle-session-1.0-r6.apk2024-10-25 20:53 9.9K 
[   ]py3-bottle-rest-pyc-0.6.0-r1.apk2024-10-25 20:53 4.9K 
[   ]py3-bottle-rest-0.6.0-r1.apk2024-10-25 20:53 5.9K 
[   ]py3-bottle-request-pyc-0.2.0-r9.apk2024-10-25 20:53 2.3K 
[   ]py3-bottle-request-0.2.0-r9.apk2024-10-25 20:53 3.0K 
[   ]py3-bottle-renderer-pyc-0.1.1-r9.apk2024-10-25 20:53 3.5K 
[   ]py3-bottle-renderer-0.1.1-r9.apk2024-10-25 20:53 3.7K 
[   ]py3-bottle-redis-pyc-0.2.3-r6.apk2024-10-25 20:53 2.9K 
[   ]py3-bottle-redis-0.2.3-r6.apk2024-10-25 20:53 3.0K 
[   ]py3-bottle-pgsql-0.2-r5.apk2024-10-25 20:53 4.0K 
[   ]py3-bottle-api-pyc-0.0.4-r7.apk2024-10-25 20:53 5.0K 
[   ]py3-bottle-api-0.0.4-r7.apk2024-10-25 20:53 4.6K 
[   ]py3-bookkeeper-pyc-4.17.2-r0.apk2025-07-13 21:42 66K 
[   ]py3-bookkeeper-4.17.2-r0.apk2025-07-13 21:42 43K 
[   ]py3-blockdiag-tests-3.0.0-r6.apk2025-01-10 12:33 2.5M 
[   ]py3-blockdiag-pyc-3.0.0-r6.apk2025-01-10 12:33 149K 
[   ]py3-blockdiag-3.0.0-r6.apk2025-01-10 12:33 68K 
[   ]py3-blockchain-pyc-1.4.4-r7.apk2024-10-25 20:53 18K 
[   ]py3-blockchain-1.4.4-r7.apk2024-10-25 20:53 11K 
[   ]py3-bleak-0.22.3-r0.apk2024-10-25 20:53 370K 
[   ]py3-bitstruct-pyc-8.19.0-r1.apk2024-10-25 20:53 13K 
[   ]py3-bitstruct-8.19.0-r1.apk2024-10-25 20:53 35K 
[   ]py3-bite-parser-pyc-0.2.5-r0.apk2024-10-28 21:51 23K 
[   ]py3-bite-parser-0.2.5-r0.apk2024-10-28 21:51 13K 
[   ]py3-binson-python-pyc-0.0.1-r0.apk2025-08-26 18:05 13K 
[   ]py3-binson-python-0.0.1-r0.apk2025-08-26 18:05 8.5K 
[   ]py3-bidict-pyc-0.23.1-r1.apk2024-10-25 20:53 29K 
[   ]py3-bidict-0.23.1-r1.apk2024-10-25 20:53 27K 
[   ]py3-bibtexparser-pyc-1.4.3-r0.apk2025-01-19 11:58 49K 
[   ]py3-bibtexparser-1.4.3-r0.apk2025-01-19 11:58 40K 
[   ]py3-bencode-pyc-4.0.0-r1.apk2024-10-25 20:53 10K 
[   ]py3-bencode-4.0.0-r1.apk2024-10-25 20:53 17K 
[   ]py3-beartype-pyc-0.21.0-r0.apk2025-05-25 13:50 672K 
[   ]py3-beartype-0.21.0-r0.apk2025-05-25 13:50 926K 
[   ]py3-base58-2.1.1-r2.apk2024-10-25 20:53 11K 
[   ]py3-barcodenumber-pyc-0.2.1-r10.apk2024-10-25 20:53 4.0K 
[   ]py3-barcodenumber-0.2.1-r10.apk2024-10-25 20:53 16K 
[   ]py3-bandwidth-sdk-pyc-3.1.0-r8.apk2024-10-25 20:53 69K 
[   ]py3-bandwidth-sdk-3.1.0-r8.apk2024-10-25 20:53 46K 
[   ]py3-banal-pyc-1.0.6-r4.apk2024-10-25 20:53 7.0K 
[   ]py3-banal-1.0.6-r4.apk2024-10-25 20:53 6.6K 
[   ]py3-b2sdk-pyc-2.8.1-r0.apk2025-05-04 02:50 402K 
[   ]py3-b2sdk-2.8.1-r0.apk2025-05-04 02:50 215K 
[   ]py3-avro-pyc-1.11.3-r1.apk2024-10-25 20:53 191K 
[   ]py3-avro-1.11.3-r1.apk2024-10-25 20:53 97K 
[   ]py3-asysocks-pyc-0.2.17-r0.apk2025-07-28 21:23 259K 
[   ]py3-asysocks-0.2.17-r0.apk2025-07-28 21:23 94K 
[   ]py3-asyauth-pyc-0.0.22-r0.apk2025-07-28 21:23 172K 
[   ]py3-asyauth-0.0.22-r0.apk2025-07-28 21:23 78K 
[   ]py3-astral-pyc-3.2-r3.apk2024-10-25 20:53 59K 
[   ]py3-astral-3.2-r3.apk2024-10-25 20:53 37K 
[   ]py3-ask-pyc-0.0.8-r8.apk2024-10-25 20:53 4.2K 
[   ]py3-ask-0.0.8-r8.apk2024-10-25 20:53 4.7K 
[   ]py3-asif-pyc-0.3.2-r3.apk2024-10-25 20:53 26K 
[   ]py3-asif-0.3.2-r3.apk2024-10-25 20:53 13K 
[   ]py3-arcus-5.3.0-r5.apk2025-06-12 14:19 94K 
[   ]py3-apt-pyc-2.9.9-r0.apk2025-07-14 03:36 119K 
[   ]py3-apt-lang-2.9.9-r0.apk2025-07-14 03:36 79K 
[   ]py3-apt-2.9.9-r0.apk2025-07-14 03:36 177K 
[   ]py3-apsw-pyc-3.50.4.0-r0.apk2025-08-14 07:59 555K 
[   ]py3-apsw-3.50.4.0-r0.apk2025-08-14 07:59 843K 
[   ]py3-apio-pyc-0.9.5-r0.apk2024-10-25 20:53 77K 
[   ]py3-apio-0.9.5-r0.apk2024-10-25 20:53 72K 
[   ]py3-apicula-pyc-0.11.1-r1.apk2024-10-25 20:53 179K 
[   ]py3-apicula-0.11.1-r1.apk2024-10-25 20:53 8.5M 
[   ]py3-anyascii-pyc-0.3.2-r1.apk2024-10-25 20:53 3.1K 
[   ]py3-anyascii-0.3.2-r1.apk2024-10-25 20:53 274K 
[   ]py3-ansible-pylibssh-1.2.2-r0.apk2025-07-18 07:53 246K 
[   ]py3-ansi2html-pyc-1.9.2-r0.apk2024-10-25 20:53 22K 
[   ]py3-ansi2html-1.9.2-r0.apk2024-10-25 20:53 17K 
[   ]py3-altgraph-pyc-0.17.4-r1.apk2024-10-25 20:53 29K 
[   ]py3-altgraph-0.17.4-r1.apk2024-10-25 20:53 20K 
[   ]py3-allfiles-pyc-1.0-r8.apk2024-10-25 20:53 3.0K 
[   ]py3-allfiles-1.0-r8.apk2024-10-25 20:53 3.3K 
[   ]py3-aioxmpp-pyc-0.13.3-r3.apk2024-10-25 20:53 673K 
[   ]py3-aioxmpp-doc-0.13.3-r3.apk2024-10-25 20:53 18K 
[   ]py3-aioxmpp-0.13.3-r3.apk2024-10-25 20:53 387K 
[   ]py3-aiowinreg-pyc-0.0.12-r1.apk2025-05-29 12:09 44K 
[   ]py3-aiowinreg-0.0.12-r1.apk2025-05-29 12:09 22K 
[   ]py3-aiosmb-pyc-0.4.13-r0.apk2025-07-28 21:22 1.1M 
[   ]py3-aiosmb-0.4.13-r0.apk2025-07-28 21:22 611K 
[   ]py3-aiosasl-pyc-0.5.0-r4.apk2024-10-25 20:53 24K 
[   ]py3-aiosasl-doc-0.5.0-r4.apk2024-10-25 20:53 16K 
[   ]py3-aiosasl-0.5.0-r4.apk2024-10-25 20:53 29K 
[   ]py3-aioopenssl-pyc-0.6.0-r4.apk2024-10-25 20:53 19K 
[   ]py3-aioopenssl-0.6.0-r4.apk2024-10-25 20:53 21K 
[   ]py3-aiohttp-session-pyc-2.12.1-r0.apk2024-10-25 20:53 15K 
[   ]py3-aiohttp-session-2.12.1-r0.apk2024-10-25 20:53 10K 
[   ]py3-aiohttp-remotes-pyc-1.3.0-r0.apk2024-11-04 12:28 19K 
[   ]py3-aiohttp-remotes-1.3.0-r0.apk2024-11-04 12:28 9.8K 
[   ]py3-aiohttp-jinja2-pyc-1.6-r2.apk2024-10-25 20:53 9.0K 
[   ]py3-aiohttp-jinja2-1.6-r2.apk2024-10-25 20:53 12K 
[   ]py3-aiohttp-debugtoolbar-pyc-0.6.1-r2.apk2024-10-25 20:53 51K 
[   ]py3-aiohttp-debugtoolbar-0.6.1-r2.apk2024-10-25 20:53 446K 
[   ]py3-aiodocker-pyc-0.21.0-r1.apk2024-10-25 20:53 60K 
[   ]py3-aiodocker-0.21.0-r1.apk2024-10-25 20:53 29K 
[   ]py3-agithub-pyc-2.2.2-r7.apk2025-03-19 13:45 21K 
[   ]py3-agithub-2.2.2-r7.apk2025-03-19 13:45 19K 
[   ]py3-aesedb-pyc-0.1.6-r3.apk2025-05-29 12:09 74K 
[   ]py3-aesedb-0.1.6-r3.apk2025-05-29 12:09 36K 
[   ]py3-actdiag-pyc-3.0.0-r5.apk2024-10-25 20:53 21K 
[   ]py3-actdiag-3.0.0-r5.apk2024-10-25 20:53 17K 
[   ]py-spy-zsh-completion-0.3.14-r3.apk2024-10-25 20:53 2.8K 
[   ]py-spy-fish-completion-0.3.14-r3.apk2024-10-25 20:53 2.3K 
[   ]py-spy-doc-0.3.14-r3.apk2024-10-25 20:53 2.0K 
[   ]py-spy-bash-completion-0.3.14-r3.apk2024-10-25 20:53 2.1K 
[   ]py-spy-0.3.14-r3.apk2024-10-25 20:53 958K 
[   ]pxmenu-1.0.0-r1.apk2024-10-25 20:53 2.6K 
[   ]pxalarm-3.0.0-r0.apk2024-10-25 20:53 2.6K 
[   ]pwauth-doc-2.3.11-r2.apk2024-10-25 20:53 6.5K 
[   ]pwauth-2.3.11-r2.apk2024-10-25 20:53 3.7K 
[   ]pw-volume-0.5.0-r1.apk2024-10-25 20:53 347K 
[   ]purple-hangouts-0_git20200422-r0.apk2024-10-25 20:53 231K 
[   ]purple-facebook-0.9.6-r0.apk2024-10-25 20:53 79K 
[   ]pulseview-doc-0.4.2-r8.apk2024-10-25 20:53 3.4K 
[   ]pulseview-0.4.2-r8.apk2024-10-25 20:53 1.0M 
[   ]pulsar-client-cpp-dev-3.7.1-r0.apk2025-06-12 14:19 64K 
[   ]pulsar-client-cpp-3.7.1-r0.apk2025-06-12 14:19 1.5M 
[   ]pully-openrc-1.0.0-r0.apk2024-10-25 20:53 1.7K 
[   ]pully-1.0.0-r0.apk2024-10-25 20:53 2.5K 
[   ]pug-0.6.2-r3.apk2025-10-08 22:26 4.0M 
[   ]ptylie-doc-0.2-r2.apk2025-05-14 17:30 2.9K 
[   ]ptylie-0.2-r2.apk2025-05-14 17:30 12K 
[   ]ptpd-openrc-2.3.1-r1.apk2024-10-25 20:53 2.1K 
[   ]ptpd-doc-2.3.1-r1.apk2024-10-25 20:53 20K 
[   ]ptpd-2.3.1-r1.apk2024-10-25 20:53 169K 
[   ]ptouch-print-doc-1.7-r0.apk2025-09-13 13:04 2.9K 
[   ]ptouch-print-1.7-r0.apk2025-09-13 13:04 30K 
[   ]psst-0_git20240526-r1.apk2024-10-25 20:53 7.5M 
[   ]pspp-doc-2.0.1-r1.apk2025-10-06 11:33 8.7K 
[   ]pspp-dbg-2.0.1-r1.apk2025-10-06 11:33 4.2M 
[   ]pspp-2.0.1-r1.apk2025-10-06 11:33 9.7M 
[   ]psi-notify-1.3.1-r0.apk2024-10-25 20:53 11K 
[   ]psftools-doc-1.1.2-r0.apk2024-10-25 20:53 59K 
[   ]psftools-dev-1.1.2-r0.apk2024-10-25 20:53 80K 
[   ]psftools-1.1.2-r0.apk2024-10-25 20:53 304K 
[   ]protoconf-0.1.7-r17.apk2025-10-08 22:26 7.4M 
[   ]protoc-gen-go-1.36.6-r4.apk2025-10-08 22:26 2.2M 
[   ]prosody-modules-0.11_hg20201208-r0.apk2024-10-25 20:53 1.5K 
[   ]prosody-mod-webpresence-0.11_hg20201208-r0.apk2024-10-25 20:53 2.6K 
[   ]prosody-mod-vcard_muc-0.11_hg20201208-r0.apk2024-10-25 20:53 2.7K 
[   ]prosody-mod-support_contact-0.11_hg20201208-r0.apk2024-10-25 20:53 2.0K 
[   ]prosody-mod-stanza_counter-0.11_hg20201208-r0.apk2024-10-25 20:53 2.0K 
[   ]prosody-mod-smacks-0.11_hg20201208-r0.apk2024-10-25 20:53 8.6K 
[   ]prosody-mod-server_status-0.11_hg20201208-r0.apk2024-10-25 20:53 2.8K 
[   ]prosody-mod-saslname-0.11_hg20201208-r0.apk2024-10-25 20:53 1.6K 
[   ]prosody-mod-s2s_idle_timeout-0.11_hg20201208-r0.apk2024-10-25 20:53 2.0K 
[   ]prosody-mod-require_otr-0.11_hg20201208-r0.apk2024-10-25 20:53 1.7K 
[   ]prosody-mod-reload_modules-0.11_hg20201208-r0.apk2024-10-25 20:53 2.0K 
[   ]prosody-mod-register_redirect-0.11_hg20201208-r0.apk2024-10-25 20:53 2.7K 
[   ]prosody-mod-register_json-0.11_hg20201208-r0.apk2024-10-25 20:53 104K 
[   ]prosody-mod-pastebin-0.11_hg20201208-r0.apk2024-10-25 20:53 3.7K 
[   ]prosody-mod-muc_cloud_notify-0.11_hg20201208-r0.apk2024-10-25 20:53 6.9K 
[   ]prosody-mod-mam_muc-0.11_hg20201208-r0.apk2024-10-25 20:53 5.6K 
[   ]prosody-mod-mam-0.11_hg20201208-r0.apk2024-10-25 20:53 5.9K 
[   ]prosody-mod-log_slow_events-0.11_hg20201208-r0.apk2024-10-25 20:53 2.2K 
[   ]prosody-mod-log_auth-0.11_hg20201208-r0.apk2024-10-25 20:53 1.8K 
[   ]prosody-mod-ipcheck-0.11_hg20201208-r0.apk2024-10-25 20:53 2.0K 
[   ]prosody-mod-http_upload_external-0.11_hg20201208-r0.apk2024-10-25 20:53 2.9K 
[   ]prosody-mod-host_guard-0.11_hg20201208-r0.apk2024-10-25 20:53 2.8K 
[   ]prosody-mod-conversejs-0.11_hg20201208-r0.apk2024-10-25 20:53 3.3K 
[   ]prosody-mod-cloud_notify-0.11_hg20201208-r0.apk2024-10-25 20:53 7.2K 
[   ]prosody-mod-c2s_conn_throttle-0.11_hg20201208-r0.apk2024-10-25 20:53 2.0K 
[   ]prosody-mod-broadcast-0.11_hg20201208-r0.apk2024-10-25 20:53 1.8K 
[   ]prosody-mod-bookmarks-0.11_hg20201208-r0.apk2024-10-25 20:53 3.1K 
[   ]prosody-mod-block_registrations-0.11_hg20201208-r0.apk2024-10-25 20:53 1.8K 
[   ]prosody-mod-auth_sql-0.11_hg20201208-r0.apk2024-10-25 20:53 2.6K 
[   ]prosody-mod-auth_pam-0.11_hg20201208-r0.apk2024-10-25 20:53 1.8K 
[   ]prosody-mod-auth_ldap-0.11_hg20201208-r0.apk2024-10-25 20:53 2.8K 
[   ]prometheus-unbound-exporter-openrc-0.4.6-r5.apk2025-05-14 17:30 1.7K 
[   ]prometheus-unbound-exporter-0.4.6-r5.apk2025-05-14 17:30 3.5M 
[   ]prometheus-smtp2go-exporter-openrc-0.1.1-r3.apk2025-10-08 22:26 1.7K 
[   ]prometheus-smtp2go-exporter-0.1.1-r3.apk2025-10-08 22:26 3.3M 
[   ]prometheus-smartctl-exporter-openrc-0.14.0-r4.apk2025-10-08 22:26 1.6K 
[   ]prometheus-smartctl-exporter-0.14.0-r4.apk2025-10-08 22:26 4.5M 
[   ]prometheus-rethinkdb-exporter-openrc-1.0.1-r32.apk2025-10-08 22:26 1.4K 
[   ]prometheus-rethinkdb-exporter-1.0.1-r32.apk2025-10-08 22:26 4.1M 
[   ]prometheus-podman-exporter-1.18.1-r1.apk2025-10-08 22:26 14M 
[   ]prometheus-opnsense-exporter-openrc-0.0.11-r1.apk2025-10-08 22:26 1.8K 
[   ]prometheus-opnsense-exporter-0.0.11-r1.apk2025-10-08 22:26 4.7M 
[   ]prometheus-ceph-exporter-openrc-4.2.5-r8.apk2025-10-08 22:26 1.6KCeph
[   ]prometheus-ceph-exporter-4.2.5-r8.apk2025-10-08 22:26 3.5MCeph
[   ]projectsandcastle-loader-0_git20200307-r1.apk2024-10-25 20:53 4.9K 
[   ]projectm-sdl-3.1.12-r2.apk2024-10-25 20:53 334K 
[   ]projectm-pulseaudio-doc-3.1.12-r2.apk2024-10-25 20:53 1.7K 
[   ]projectm-pulseaudio-3.1.12-r2.apk2024-10-25 20:53 421K 
[   ]projectm-presets-3.1.12-r2.apk2024-10-25 20:53 4.4M 
[   ]projectm-dev-3.1.12-r2.apk2024-10-25 20:53 652K 
[   ]projectm-3.1.12-r2.apk2024-10-25 20:53 455K 
[   ]prjtrellis-db-machxo3d-0_git20230929-r0.apk2024-10-25 20:53 747K 
[   ]prjtrellis-db-machxo3-0_git20230929-r0.apk2024-10-25 20:53 1.1M 
[   ]prjtrellis-db-machxo2-0_git20230929-r0.apk2024-10-25 20:53 1.0M 
[   ]prjtrellis-db-machxo-0_git20230929-r0.apk2024-10-25 20:53 39K 
[   ]prjtrellis-db-ecp5-0_git20230929-r0.apk2024-10-25 20:53 2.1M 
[   ]prjtrellis-db-0_git20230929-r0.apk2024-10-25 20:53 3.0K 
[   ]prjtrellis-1.4-r2.apk2024-10-25 20:53 1.3M 
[   ]primesieve-libs-12.9-r0.apk2025-08-07 05:56 129K 
[   ]primesieve-doc-12.9-r0.apk2025-08-07 05:56 3.8K 
[   ]primesieve-dev-12.9-r0.apk2025-08-07 05:56 1.4M 
[   ]primesieve-12.9-r0.apk2025-08-07 05:56 47K 
[   ]primecount-libs-7.19-r0.apk2025-08-07 05:56 162K 
[   ]primecount-doc-7.19-r0.apk2025-08-07 05:56 3.6K 
[   ]primecount-dev-7.19-r0.apk2025-08-07 05:56 2.0M 
[   ]primecount-7.19-r0.apk2025-08-07 05:56 31K 
[   ]predict-doc-2.3.1-r0.apk2024-11-23 19:08 16K 
[   ]predict-2.3.1-r0.apk2024-11-23 19:08 100K 
[   ]pqiv-doc-2.12-r1.apk2024-10-25 20:53 12K 
[   ]pqiv-2.12-r1.apk2024-10-25 20:53 68K 
[   ]pptpclient-doc-1.10.0-r6.apk2025-06-30 09:24 6.9K 
[   ]pptpclient-1.10.0-r6.apk2025-06-30 09:24 33K 
[   ]powerstat-doc-0.04.01-r0.apk2024-10-25 20:53 4.0K 
[   ]powerstat-bash-completion-0.04.01-r0.apk2024-10-25 20:53 2.0K 
[   ]powerstat-0.04.01-r0.apk2024-10-25 20:53 19K 
[   ]pounce-openrc-3.1-r4.apk2025-09-12 22:24 2.5K 
[   ]pounce-doc-3.1-r4.apk2025-09-12 22:24 8.3K 
[   ]pounce-3.1-r4.apk2025-09-12 22:24 31K 
[   ]postgresql16-wal2json-2.6-r0.apk2024-10-25 20:53 72K 
[   ]postgresql-pgmq-1.1.1-r1.apk2024-10-25 20:53 284K 
[   ]postgresql-pg_variables-bitcode-1.2.5_git20230922-r0.apk2024-10-25 20:53 55K 
[   ]postgresql-pg_variables-1.2.5_git20230922-r0.apk2024-10-25 20:53 25K 
[   ]postgresql-pg_later-0.0.14-r1.apk2024-10-25 20:53 654K 
[   ]postgresql-hll-bitcode-2.18-r0.apk2024-10-25 20:53 56K 
[   ]postgresql-hll-2.18-r0.apk2024-10-25 20:53 28K 
[   ]portsmf-dev-239-r1.apk2024-10-25 20:53 20K 
[   ]portsmf-239-r1.apk2024-10-25 20:53 59K 
[   ]porla-openrc-0.41.0-r2.apk2025-02-24 15:35 2.4K 
[   ]porla-doc-0.41.0-r2.apk2025-02-24 15:35 1.9K 
[   ]porla-0.41.0-r2.apk2025-02-24 15:35 3.6M 
[   ]popeye-0.22.1-r8.apk2025-10-08 22:26 27M 
[   ]pop-launcher-1.2.7-r0.apk2025-10-03 08:45 2.5M 
[   ]pop-icon-theme-3.5.1-r0.apk2025-03-25 07:55 1.3M 
[   ]pop-cursor-theme-3.5.1-r0.apk2025-03-25 07:55 13M 
[   ]pongoos-loader-0_git20210704-r1.apk2024-10-25 20:53 2.1K 
[   ]pomo-doc-0.8.1-r27.apk2025-10-08 22:26 2.5K 
[   ]pomo-0.8.1-r27.apk2025-10-08 22:26 1.6M 
[   ]polyglot-doc-2.0.4-r1.apk2024-10-25 20:53 47K 
[   ]polyglot-2.0.4-r1.apk2024-10-25 20:53 68K 
[   ]policycoreutils-lang-3.6-r1.apk2024-10-25 20:53 105K 
[   ]policycoreutils-doc-3.6-r1.apk2024-10-25 20:53 22K 
[   ]policycoreutils-bash-completion-3.6-r1.apk2024-10-25 20:53 2.2K 
[   ]policycoreutils-3.6-r1.apk2024-10-25 20:53 70K 
[   ]pokoy-doc-0.2.5-r0.apk2024-10-25 20:53 2.7K 
[   ]pokoy-0.2.5-r0.apk2024-10-25 20:53 9.6K 
[   ]pnmixer-lang-0.7.2-r3.apk2024-10-25 20:53 25K 
[   ]pnmixer-doc-0.7.2-r3.apk2024-10-25 20:53 2.0K 
[   ]pnmixer-0.7.2-r3.apk2024-10-25 20:53 143K 
[   ]pneink-theme-doc-1.3-r0.apk2025-07-30 00:51 1.4K 
[   ]pneink-theme-1.3-r0.apk2025-07-30 00:51 9.6K 
[   ]pmccabe-doc-2.8-r1.apk2024-10-25 20:53 6.9K 
[   ]pmccabe-2.8-r1.apk2024-10-25 20:53 28K 
[   ]plplot-libs-5.15.0-r2.apk2024-10-25 20:53 205K 
[   ]plplot-doc-5.15.0-r2.apk2024-10-25 20:53 310K 
[   ]plplot-dev-5.15.0-r2.apk2024-10-25 20:53 59K 
[   ]plplot-5.15.0-r2.apk2024-10-25 20:53 31K 
[   ]plots-0.7.0-r1.apk2024-11-06 09:43 515K 
[   ]plib-1.8.5-r3.apk2024-10-25 20:53 945K 
[   ]plfit-static-1.0.1-r0.apk2025-01-04 03:48 50K 
[   ]plfit-libs-1.0.1-r0.apk2025-01-04 03:48 42K 
[   ]plfit-dev-1.0.1-r0.apk2025-01-04 03:48 6.2K 
[   ]plfit-1.0.1-r0.apk2025-01-04 03:48 56K 
[   ]please-doc-0.5.6-r0.apk2025-08-29 08:36 16K 
[   ]please-0.5.6-r0.apk2025-08-29 08:36 1.0M 
[   ]planner-lang-0.14.92-r1.apk2024-12-08 21:45 824K 
[   ]planner-doc-0.14.92-r1.apk2024-12-08 21:45 1.9K 
[   ]planner-0.14.92-r1.apk2024-12-08 21:45 370K 
[   ]planarity-libs-4.0.0.0-r0.apk2025-03-16 10:08 86K 
[   ]planarity-doc-4.0.0.0-r0.apk2025-03-16 10:08 12K 
[   ]planarity-dev-4.0.0.0-r0.apk2025-03-16 10:08 23K 
[   ]planarity-4.0.0.0-r0.apk2025-03-16 10:08 25K 
[   ]plakar-doc-1.0.4-r1.apk2025-10-08 22:26 1.8K 
[   ]plakar-1.0.4-r1.apk2025-10-08 22:26 18M 
[   ]pixiewps-doc-1.4.2-r2.apk2025-05-14 17:30 3.1K 
[   ]pixiewps-1.4.2-r2.apk2025-05-14 17:30 56K 
[   ]pixi-zsh-completion-0.24.2-r0.apk2024-10-25 20:53 10K 
[   ]pixi-fish-completion-0.24.2-r0.apk2024-10-25 20:53 9.8K 
[   ]pixi-doc-0.24.2-r0.apk2024-10-25 20:53 6.6K 
[   ]pixi-bash-completion-0.24.2-r0.apk2024-10-25 20:53 6.9K 
[   ]pixi-0.24.2-r0.apk2024-10-25 20:53 9.1M 
[   ]pitivi-pyc-2023.03-r2.apk2024-12-22 22:04 700K 
[   ]pitivi-lang-2023.03-r2.apk2024-12-22 22:04 678K 
[   ]pitivi-2023.03-r2.apk2024-12-22 22:04 2.7M 
[   ]pithos-pyc-1.6.1-r0.apk2024-10-25 20:53 154K 
[   ]pithos-doc-1.6.1-r0.apk2024-10-25 20:53 1.8K 
[   ]pithos-1.6.1-r0.apk2024-10-25 20:53 104K 
[   ]piper-tts-dev-2023.11.14.2-r14.apk2025-07-12 16:34 141K 
[   ]piper-tts-2023.11.14.2-r14.apk2025-07-12 16:34 130K 
[   ]piper-phonemize-libs-2023.11.14.4-r9.apk2025-07-12 16:34 70K 
[   ]piper-phonemize-dev-2023.11.14.4-r9.apk2025-07-12 16:34 394K 
[   ]piper-phonemize-2023.11.14.4-r9.apk2025-07-12 16:34 9.0M 
[   ]pinentry-bemenu-0.14.0-r1.apk2025-07-06 00:22 8.7K 
[   ]pimd-openrc-3.0_git20220201-r0.apk2024-10-25 20:53 1.6K 
[   ]pimd-doc-3.0_git20220201-r0.apk2024-10-25 20:53 35K 
[   ]pimd-dense-openrc-2.1.0-r0.apk2024-10-25 20:53 1.6K 
[   ]pimd-dense-doc-2.1.0-r0.apk2024-10-25 20:53 19K 
[   ]pimd-dense-2.1.0-r0.apk2024-10-25 20:53 54K 
[   ]pimd-3.0_git20220201-r0.apk2024-10-25 20:53 87K 
[   ]pihole-openrc-6.2.3-r0.apk2025-06-20 18:06 1.6K 
[   ]pihole-doc-6.2.3-r0.apk2025-06-20 18:06 3.5K 
[   ]pihole-bash-completion-6.2.3-r0.apk2025-06-20 18:06 1.9K 
[   ]pihole-6.2.3-r0.apk2025-06-20 18:06 5.8M 
[   ]piglit-0_git20241106-r1.apk2025-05-14 17:30 93M 
[   ]pidif-0.1-r1.apk2024-10-25 20:53 172K 
[   ]pick-doc-4.0.0-r0.apk2024-10-25 20:53 3.1K 
[   ]pick-4.0.0-r0.apk2024-10-25 20:53 10K 
[   ]phpactor-2025.04.17.0-r0.apk2025-05-30 18:53 3.5M 
[   ]php85-zip-8.5.0_rc2-r0.apk2025-10-08 10:23 30K 
[   ]php85-xsl-8.5.0_rc2-r0.apk2025-10-08 10:23 15K 
[   ]php85-xmlwriter-8.5.0_rc2-r0.apk2025-10-08 10:23 13K 
[   ]php85-xmlreader-8.5.0_rc2-r0.apk2025-10-08 10:23 17K 
[   ]php85-xml-8.5.0_rc2-r0.apk2025-10-08 10:23 25K 
[   ]php85-tokenizer-8.5.0_rc2-r0.apk2025-10-08 10:23 12K 
[   ]php85-tidy-8.5.0_rc2-r0.apk2025-10-08 10:23 19K 
[   ]php85-sysvshm-8.5.0_rc2-r0.apk2025-10-08 10:23 6.8K 
[   ]php85-sysvsem-8.5.0_rc2-r0.apk2025-10-08 10:23 5.8K 
[   ]php85-sysvmsg-8.5.0_rc2-r0.apk2025-10-08 10:23 7.8K 
[   ]php85-sqlite3-8.5.0_rc2-r0.apk2025-10-08 10:23 25K 
[   ]php85-sodium-8.5.0_rc2-r0.apk2025-10-08 10:23 28K 
[   ]php85-sockets-8.5.0_rc2-r0.apk2025-10-08 10:23 42K 
[   ]php85-soap-8.5.0_rc2-r0.apk2025-10-08 10:23 146K 
[   ]php85-snmp-8.5.0_rc2-r0.apk2025-10-08 10:23 22K 
[   ]php85-simplexml-8.5.0_rc2-r0.apk2025-10-08 10:23 23K 
[   ]php85-shmop-8.5.0_rc2-r0.apk2025-10-08 10:23 6.4K 
[   ]php85-session-8.5.0_rc2-r0.apk2025-10-08 10:23 41K 
[   ]php85-posix-8.5.0_rc2-r0.apk2025-10-08 10:23 12K 
[   ]php85-phpdbg-8.5.0_rc2-r0.apk2025-10-08 10:23 2.9M 
[   ]php85-phar-8.5.0_rc2-r0.apk2025-10-08 10:23 126K 
[   ]php85-pgsql-8.5.0_rc2-r0.apk2025-10-08 10:23 57K 
[   ]php85-pecl-zstd-0.15.2-r1.apk2025-10-03 08:45 17K 
[   ]php85-pecl-yaml-2.2.5-r1.apk2025-10-03 08:45 20K 
[   ]php85-pecl-xhprof-assets-2.3.10-r1.apk2025-10-03 08:45 807K 
[   ]php85-pecl-xhprof-2.3.10-r1.apk2025-10-03 08:45 13K 
[   ]php85-pecl-xdebug-3.5.0_alpha2-r0.apk2025-10-08 22:26 157K 
[   ]php85-pecl-vld-0.19.1-r1.apk2025-10-03 08:45 16K 
[   ]php85-pecl-uploadprogress-doc-2.0.2-r1.apk2025-10-03 08:45 9.3K 
[   ]php85-pecl-uploadprogress-2.0.2-r1.apk2025-10-03 08:45 6.7K 
[   ]php85-pecl-protobuf-4.32.1-r0.apk2025-10-03 08:45 150K 
[   ]php85-pecl-opentelemetry-1.2.1-r0.apk2025-10-04 23:15 14K 
[   ]php85-pecl-mongodb-2.1.4-r0.apk2025-10-08 22:26 831K 
[   ]php85-pecl-mailparse-3.1.9-r0.apk2025-10-03 08:45 24K 
[   ]php85-pecl-imagick-dev-3.8.0-r0.apk2025-10-03 08:45 2.0K 
[   ]php85-pecl-imagick-3.8.0-r0.apk2025-10-03 08:45 110K 
[   ]php85-pecl-igbinary-3.2.16-r3.apk2025-10-03 08:45 30K 
[   ]php85-pecl-ev-1.2.1-r1.apk2025-10-03 08:45 42K 
[   ]php85-pecl-brotli-0.18.2-r1.apk2025-10-03 08:45 15K 
[   ]php85-pecl-ast-1.1.3-r1.apk2025-10-03 08:45 19K 
[   ]php85-pecl-apcu-5.1.27-r1.apk2025-10-03 08:45 57K 
[   ]php85-pear-8.5.0_rc2-r0.apk2025-10-08 10:23 338K 
[   ]php85-pdo_sqlite-8.5.0_rc2-r0.apk2025-10-08 10:23 20K 
[   ]php85-pdo_pgsql-8.5.0_rc2-r0.apk2025-10-08 10:23 26K 
[   ]php85-pdo_odbc-8.5.0_rc2-r0.apk2025-10-08 10:23 15K 
[   ]php85-pdo_mysql-8.5.0_rc2-r0.apk2025-10-08 10:23 16K 
[   ]php85-pdo_dblib-8.5.0_rc2-r0.apk2025-10-08 10:23 14K 
[   ]php85-pdo-8.5.0_rc2-r0.apk2025-10-08 10:23 47K 
[   ]php85-pcntl-8.5.0_rc2-r0.apk2025-10-08 10:23 19K 
[   ]php85-openssl-8.5.0_rc2-r0.apk2025-10-08 10:23 83K 
[   ]php85-odbc-8.5.0_rc2-r0.apk2025-10-08 10:23 27K 
[   ]php85-mysqlnd-8.5.0_rc2-r0.apk2025-10-08 10:23 83K 
[   ]php85-mysqli-8.5.0_rc2-r0.apk2025-10-08 10:23 43K 
[   ]php85-mbstring-8.5.0_rc2-r0.apk2025-10-08 10:23 647K 
[   ]php85-litespeed-8.5.0_rc2-r0.apk2025-10-08 10:23 2.8M 
[   ]php85-ldap-8.5.0_rc2-r0.apk2025-10-08 10:23 34K 
[   ]php85-intl-8.5.0_rc2-r0.apk2025-10-08 10:23 183K 
[   ]php85-iconv-8.5.0_rc2-r0.apk2025-10-08 10:23 19K 
[   ]php85-gmp-8.5.0_rc2-r0.apk2025-10-08 10:23 19K 
[   ]php85-gettext-8.5.0_rc2-r0.apk2025-10-08 10:23 6.8K 
[   ]php85-gd-8.5.0_rc2-r0.apk2025-10-08 10:23 151K 
[   ]php85-ftp-8.5.0_rc2-r0.apk2025-10-08 10:23 25K 
[   ]php85-fpm-8.5.0_rc2-r0.apk2025-10-08 10:23 2.9M 
[   ]php85-fileinfo-8.5.0_rc2-r0.apk2025-10-08 10:23 506K 
[   ]php85-ffi-8.5.0_rc2-r0.apk2025-10-08 10:23 70K 
[   ]php85-exif-8.5.0_rc2-r0.apk2025-10-08 10:23 32K 
[   ]php85-enchant-8.5.0_rc2-r0.apk2025-10-08 10:23 9.7K 
[   ]php85-embed-8.5.0_rc2-r0.apk2025-10-08 10:23 2.8M 
[   ]php85-dom-8.5.0_rc2-r0.apk2025-10-08 10:23 152K 
[   ]php85-doc-8.5.0_rc2-r0.apk2025-10-08 10:23 64K 
[   ]php85-dev-8.5.0_rc2-r0.apk2025-10-08 10:23 1.3M 
[   ]php85-dbg-8.5.0_rc2-r0.apk2025-10-08 10:23 52M 
[   ]php85-dba-8.5.0_rc2-r0.apk2025-10-08 10:23 27K 
[   ]php85-curl-8.5.0_rc2-r0.apk2025-10-08 10:23 44K 
[   ]php85-ctype-8.5.0_rc2-r0.apk2025-10-08 10:23 5.0K 
[   ]php85-common-8.5.0_rc2-r0.apk2025-10-08 10:23 24K 
[   ]php85-cgi-8.5.0_rc2-r0.apk2025-10-08 10:23 2.8M 
[   ]php85-calendar-8.5.0_rc2-r0.apk2025-10-08 10:23 14K 
[   ]php85-bz2-8.5.0_rc2-r0.apk2025-10-08 10:23 11K 
[   ]php85-bcmath-8.5.0_rc2-r0.apk2025-10-08 10:23 32K 
[   ]php85-apache2-8.5.0_rc2-r0.apk2025-10-08 10:23 2.8M 
[   ]php85-8.5.0_rc2-r0.apk2025-10-08 10:23 2.8M 
[   ]php84-snappy-0.2.3-r0.apk2025-04-09 22:06 5.4K 
[   ]php84-pecl-vld-0.19.1-r0.apk2025-07-20 13:29 16K 
[   ]php84-pecl-uv-0.3.0-r0.apk2024-10-28 12:47 50K 
[   ]php84-pecl-solr-2.8.1-r0.apk2025-04-23 04:48 90K 
[   ]php84-pecl-phpy-1.0.11-r1.apk2025-05-14 17:29 43K 
[   ]php84-pecl-oauth-2.0.9-r0.apk2024-10-25 20:53 36K 
[   ]php84-pecl-memprof-3.1.0-r0.apk2025-02-24 17:23 14K 
[   ]php84-pecl-mcrypt-1.0.9-r0.apk2025-08-05 12:42 15K 
[   ]php84-pecl-ev-1.2.1-r0.apk2025-07-20 14:00 42K 
[   ]php84-pecl-csv-0.4.3-r0.apk2025-02-25 15:46 9.9K 
[   ]php83-pecl-zmq-1.1.4-r0.apk2024-10-25 20:53 31K 
[   ]php83-pecl-vld-0.19.1-r0.apk2025-07-20 13:29 16K 
[   ]php83-pecl-uv-0.3.0-r0.apk2024-10-25 20:53 50K 
[   ]php83-pecl-phpy-1.0.11-r1.apk2025-05-14 17:29 43K 
[   ]php83-pecl-oauth-2.0.9-r0.apk2024-10-25 20:53 36K 
[   ]php83-pecl-excimer-1.2.5-r0.apk2025-05-20 18:27 21K 
[   ]php83-pecl-ev-1.2.1-r0.apk2025-07-20 14:00 42K 
[   ]php83-pecl-eio-3.1.4-r0.apk2025-07-20 15:26 29K 
[   ]php83-pecl-apfd-1.0.3-r0.apk2024-10-25 20:53 4.6K 
[   ]php82-snappy-0.2.3-r0.apk2025-04-09 22:06 5.4K 
[   ]php82-pecl-zephir_parser-1.8.0-r0.apk2025-10-03 08:45 59K 
[   ]php82-pecl-vld-0.19.1-r0.apk2025-07-20 13:29 16K 
[   ]php82-pecl-teds-1.3.0-r0.apk2024-10-25 20:53 136K 
[   ]php82-pecl-runkit7-4.0.0_alpha6-r1.apk2024-10-25 20:53 31K 
[   ]php82-pecl-oauth-2.0.9-r0.apk2024-10-25 20:53 36K 
[   ]php82-pecl-immutable_cache-6.1.0-r0.apk2024-10-25 20:53 41K 
[   ]php82-pecl-excimer-1.2.5-r0.apk2025-05-20 18:27 21K 
[   ]php82-pecl-apfd-1.0.3-r0.apk2024-10-25 20:53 4.6K 
[   ]php81-zip-8.1.33-r0.apk2025-07-02 22:32 26K 
[   ]php81-xsl-8.1.33-r0.apk2025-07-02 22:32 13K 
[   ]php81-xmlwriter-8.1.33-r0.apk2025-07-02 22:32 11K 
[   ]php81-xmlreader-8.1.33-r0.apk2025-07-02 22:32 14K 
[   ]php81-xml-8.1.33-r0.apk2025-07-02 22:32 19K 
[   ]php81-tokenizer-8.1.33-r0.apk2025-07-02 22:32 12K 
[   ]php81-tidy-8.1.33-r0.apk2025-07-02 22:32 19K 
[   ]php81-tideways_xhprof-5.0.4-r1.apk2024-10-25 20:53 14K 
[   ]php81-sysvshm-8.1.33-r0.apk2025-07-02 22:32 6.8K 
[   ]php81-sysvsem-8.1.33-r0.apk2025-07-02 22:32 5.8K 
[   ]php81-sysvmsg-8.1.33-r0.apk2025-07-02 22:32 7.5K 
[   ]php81-sqlite3-8.1.33-r0.apk2025-07-02 22:32 21K 
[   ]php81-sodium-8.1.33-r0.apk2025-07-02 22:32 25K 
[   ]php81-sockets-8.1.33-r0.apk2025-07-02 22:32 36K 
[   ]php81-soap-8.1.33-r0.apk2025-07-02 22:32 142K 
[   ]php81-snmp-8.1.33-r0.apk2025-07-02 22:32 21K 
[   ]php81-simplexml-8.1.33-r0.apk2025-07-02 22:32 23K 
[   ]php81-shmop-8.1.33-r0.apk2025-07-02 22:32 6.1K 
[   ]php81-session-8.1.33-r0.apk2025-07-02 22:32 37K 
[   ]php81-pspell-8.1.33-r0.apk2025-07-02 22:32 7.9K 
[   ]php81-posix-8.1.33-r0.apk2025-07-02 22:32 11K 
[   ]php81-phpdbg-8.1.33-r0.apk2025-07-02 22:32 2.0M 
[   ]php81-phar-8.1.33-r0.apk2025-07-02 22:32 123K 
[   ]php81-pgsql-8.1.33-r0.apk2025-07-02 22:32 45K 
[   ]php81-pecl-zstd-0.15.2-r0.apk2025-09-09 17:52 17K 
[   ]php81-pecl-zephir_parser-1.8.0-r0.apk2025-10-03 08:45 59K 
[   ]php81-pecl-yaml-2.2.5-r0.apk2025-08-03 14:53 19K 
[   ]php81-pecl-xmlrpc-1.0.0_rc3-r2.apk2024-10-25 20:53 38K 
[   ]php81-pecl-xlswriter-1.5.8-r0.apk2024-11-11 01:45 232K 
[   ]php81-pecl-xhprof-assets-2.3.10-r0.apk2024-10-25 20:53 800K 
[   ]php81-pecl-xhprof-2.3.10-r0.apk2024-10-25 20:53 13K 
[   ]php81-pecl-xdebug-3.4.6-r0.apk2025-10-06 13:10 148K 
[   ]php81-pecl-vips-1.0.13-r0.apk2024-10-25 20:53 17K 
[   ]php81-pecl-uuid-1.3.0-r0.apk2025-05-14 17:29 6.7K 
[   ]php81-pecl-uploadprogress-doc-2.0.2-r1.apk2024-10-25 20:53 9.7K 
[   ]php81-pecl-uploadprogress-2.0.2-r1.apk2024-10-25 20:53 6.7K 
[   ]php81-pecl-timezonedb-2025.2-r0.apk2025-03-27 11:07 189K 
[   ]php81-pecl-swoole-dev-6.0.2-r0.apk2025-03-26 18:30 213K 
[   ]php81-pecl-swoole-6.0.2-r0.apk2025-03-26 18:30 902K 
[   ]php81-pecl-ssh2-1.4.1-r0.apk2024-10-25 20:53 28K 
[   ]php81-pecl-smbclient-1.2.0_pre-r0.apk2024-12-10 19:02 21K 
[   ]php81-pecl-redis-6.2.0-r0.apk2025-03-27 10:59 201K 
[   ]php81-pecl-rdkafka-6.0.5-r0.apk2024-11-04 12:52 38K 
[   ]php81-pecl-psr-1.2.0-r0.apk2024-10-25 20:53 17K 
[   ]php81-pecl-protobuf-4.32.1-r0.apk2025-10-03 08:45 150K 
[   ]php81-pecl-pcov-1.0.12-r0.apk2024-12-05 11:04 9.8K 
[   ]php81-pecl-opentelemetry-1.2.1-r0.apk2025-10-04 23:15 14K 
[   ]php81-pecl-oauth-2.0.9-r0.apk2024-10-25 20:53 35K 
[   ]php81-pecl-msgpack-3.0.0-r0.apk2024-10-25 20:53 26K 
[   ]php81-pecl-mongodb-2.1.4-r0.apk2025-10-08 22:26 831K 
[   ]php81-pecl-memprof-3.1.0-r0.apk2025-02-24 17:23 14K 
[   ]php81-pecl-memcached-3.3.0-r0.apk2024-10-25 20:53 47K 
[   ]php81-pecl-memcache-8.2-r1.apk2024-10-25 20:53 43K 
[   ]php81-pecl-mcrypt-1.0.9-r0.apk2025-08-05 12:42 15K 
[   ]php81-pecl-maxminddb-1.12.1-r0.apk2025-05-07 11:54 8.2K 
[   ]php81-pecl-mailparse-3.1.9-r0.apk2025-10-03 08:45 24K 
[   ]php81-pecl-lzf-1.7.0-r0.apk2024-10-25 20:53 7.6K 
[   ]php81-pecl-luasandbox-4.1.2-r0.apk2024-10-25 20:53 32K 
[   ]php81-pecl-immutable_cache-6.1.0-r0.apk2024-10-25 20:53 41K 
[   ]php81-pecl-imagick-dev-3.8.0-r1.apk2025-10-03 08:45 2.0K 
[   ]php81-pecl-imagick-3.8.0-r1.apk2025-10-03 08:45 110K 
[   ]php81-pecl-igbinary-3.2.16-r0.apk2024-10-25 20:53 35K 
[   ]php81-pecl-event-3.1.4-r0.apk2024-10-25 20:53 51K 
[   ]php81-pecl-ds-1.6.0-r0.apk2025-05-07 13:17 63K 
[   ]php81-pecl-decimal-1.5.0-r1.apk2024-10-25 20:53 19K 
[   ]php81-pecl-csv-0.4.3-r0.apk2025-02-25 15:46 10K 
[   ]php81-pecl-brotli-0.18.2-r0.apk2025-08-17 23:33 15K 
[   ]php81-pecl-ast-1.1.3-r0.apk2025-08-10 17:43 19K 
[   ]php81-pecl-apcu-5.1.27-r0.apk2025-08-28 21:51 56K 
[   ]php81-pecl-amqp-2.1.2-r0.apk2024-10-25 20:53 54K 
[   ]php81-pear-8.1.33-r0.apk2025-07-02 22:32 338K 
[   ]php81-pdo_sqlite-8.1.33-r0.apk2025-07-02 22:32 13K 
[   ]php81-pdo_pgsql-8.1.33-r0.apk2025-07-02 22:32 19K 
[   ]php81-pdo_odbc-8.1.33-r0.apk2025-07-02 22:32 13K 
[   ]php81-pdo_mysql-8.1.33-r0.apk2025-07-02 22:32 14K 
[   ]php81-pdo_dblib-8.1.33-r0.apk2025-07-02 22:32 13K 
[   ]php81-pdo-8.1.33-r0.apk2025-07-02 22:32 42K 
[   ]php81-pcntl-8.1.33-r0.apk2025-07-02 22:32 14K 
[   ]php81-openssl-8.1.33-r0.apk2025-07-02 22:32 73K 
[   ]php81-opcache-8.1.33-r0.apk2025-07-02 22:32 73K 
[   ]php81-odbc-8.1.33-r0.apk2025-07-02 22:32 23K 
[   ]php81-mysqlnd-8.1.33-r0.apk2025-07-02 22:32 84K 
[   ]php81-mysqli-8.1.33-r0.apk2025-07-02 22:32 41K 
[   ]php81-mbstring-8.1.33-r0.apk2025-07-02 22:32 574K 
[   ]php81-litespeed-8.1.33-r0.apk2025-07-02 22:32 1.9M 
[   ]php81-ldap-8.1.33-r0.apk2025-07-02 22:32 32K 
[   ]php81-intl-8.1.33-r0.apk2025-07-02 22:32 144K 
[   ]php81-imap-8.1.33-r0.apk2025-07-02 22:32 33K 
[   ]php81-iconv-8.1.33-r0.apk2025-07-02 22:32 18K 
[   ]php81-gmp-8.1.33-r0.apk2025-07-02 22:32 20K 
[   ]php81-gettext-8.1.33-r0.apk2025-07-02 22:32 5.8K 
[   ]php81-gd-8.1.33-r0.apk2025-07-02 22:32 147K 
[   ]php81-ftp-8.1.33-r0.apk2025-07-02 22:32 23K 
[   ]php81-fpm-8.1.33-r0.apk2025-07-02 22:32 2.0M 
[   ]php81-fileinfo-8.1.33-r0.apk2025-07-02 22:32 384K 
[   ]php81-ffi-8.1.33-r0.apk2025-07-02 22:32 67K 
[   ]php81-exif-8.1.33-r0.apk2025-07-02 22:32 31K 
[   ]php81-enchant-8.1.33-r0.apk2025-07-02 22:32 8.6K 
[   ]php81-embed-8.1.33-r0.apk2025-07-02 22:32 1.9M 
[   ]php81-dom-8.1.33-r0.apk2025-07-02 22:32 64K 
[   ]php81-doc-8.1.33-r0.apk2025-07-02 22:32 68K 
[   ]php81-dev-8.1.33-r0.apk2025-07-02 22:32 939K 
[   ]php81-dba-8.1.33-r0.apk2025-07-02 22:32 24K 
[   ]php81-curl-8.1.33-r0.apk2025-07-02 22:32 36K 
[   ]php81-ctype-8.1.33-r0.apk2025-07-02 22:32 5.2K 
[   ]php81-common-8.1.33-r0.apk2025-07-02 22:32 25K 
[   ]php81-cgi-8.1.33-r0.apk2025-07-02 22:32 1.9M 
[   ]php81-calendar-8.1.33-r0.apk2025-07-02 22:32 13K 
[   ]php81-bz2-8.1.33-r0.apk2025-07-02 22:32 10K 
[   ]php81-bcmath-8.1.33-r0.apk2025-07-02 22:32 20K 
[   ]php81-apache2-8.1.33-r0.apk2025-07-02 22:32 1.9M 
[   ]php81-8.1.33-r0.apk2025-07-02 22:32 1.9M 
[   ]phosh-tour-lang-0.50.0-r0.apk2025-10-05 15:29 33K 
[   ]phosh-tour-0.50.0-r0.apk2025-10-05 15:29 39K 
[   ]phoronix-test-suite-doc-10.8.4-r2.apk2024-10-25 20:53 287K 
[   ]phoronix-test-suite-bash-completion-10.8.4-r2.apk2024-10-25 20:53 1.5K 
[   ]phoronix-test-suite-10.8.4-r2.apk2024-10-25 20:53 3.9M 
[   ]pfetch-doc-1.9.0-r0.apk2025-04-10 13:06 5.5K 
[   ]pfetch-1.9.0-r0.apk2025-04-10 13:06 23K 
[   ]petitboot-doc-1.14-r0.apk2025-10-03 08:45 7.8K 
[   ]petitboot-dbg-1.14-r0.apk2025-10-03 08:45 582K 
[   ]petitboot-1.14-r0.apk2025-10-03 08:45 213K 
[   ]pest-language-server-0.3.9-r0.apk2024-10-25 20:53 1.1M 
[   ]persistent-cache-cpp-doc-1.0.9-r0.apk2025-09-08 01:01 2.9K 
[   ]persistent-cache-cpp-dev-1.0.9-r0.apk2025-09-08 01:01 18K 
[   ]persistent-cache-cpp-1.0.9-r0.apk2025-09-08 01:01 46K 
[   ]perl-xml-xpathengine-doc-0.14-r0.apk2025-07-08 16:55 11K 
[   ]perl-xml-xpathengine-0.14-r0.apk2025-07-08 16:55 21K 
[   ]perl-xml-stream-doc-1.24-r0.apk2024-10-25 20:53 17K 
[   ]perl-xml-stream-1.24-r0.apk2024-10-25 20:53 44K 
[   ]perl-xml-rpc-doc-2.1-r0.apk2024-10-25 20:53 4.6K 
[   ]perl-xml-rpc-2.1-r0.apk2024-10-25 20:53 5.5K 
[   ]perl-xml-parser-style-easytree-doc-0.09-r0.apk2024-10-25 20:53 5.2K 
[   ]perl-xml-parser-style-easytree-0.09-r0.apk2024-10-25 20:53 4.7K 
[   ]perl-xml-feed-doc-0.65-r0.apk2024-10-25 20:53 12K 
[   ]perl-xml-feed-0.65-r0.apk2024-10-25 20:53 14K 
[   ]perl-xml-bare-doc-0.53-r14.apk2025-06-30 09:24 11K 
[   ]perl-xml-bare-0.53-r14.apk2025-06-30 09:24 29K 
[   ]perl-xml-atom-doc-0.43-r0.apk2024-10-25 20:53 16K 
[   ]perl-xml-atom-0.43-r0.apk2024-10-25 20:53 19K 
[   ]perl-x-tiny-doc-0.22-r0.apk2024-10-25 20:53 7.3K 
[   ]perl-x-tiny-0.22-r0.apk2024-10-25 20:53 6.6K 
[   ]perl-web-scraper-doc-0.38-r0.apk2025-07-11 16:51 7.8K 
[   ]perl-web-scraper-0.38-r0.apk2025-07-11 16:51 7.3K 
[   ]perl-web-machine-doc-0.17-r0.apk2025-06-13 18:18 28K 
[   ]perl-web-machine-0.17-r0.apk2025-06-13 18:18 20K 
[   ]perl-wanted-doc-0.1.0-r0.apk2025-08-09 12:15 10K 
[   ]perl-wanted-0.1.0-r0.apk2025-08-09 12:15 21K 
[   ]perl-variable-disposition-doc-0.005-r0.apk2024-10-25 20:53 5.4K 
[   ]perl-variable-disposition-0.005-r0.apk2024-10-25 20:53 3.0K 
[   ]perl-url-encode-doc-0.03-r4.apk2024-10-25 20:53 4.4K 
[   ]perl-url-encode-0.03-r4.apk2024-10-25 20:53 4.9K 
[   ]perl-uri-ws-doc-0.03-r0.apk2025-03-19 13:45 4.1K 
[   ]perl-uri-ws-0.03-r0.apk2025-03-19 13:45 2.1K 
[   ]perl-uri-tcp-doc-2.0.0-r0.apk2024-10-25 20:53 4.7K 
[   ]perl-uri-tcp-2.0.0-r0.apk2024-10-25 20:53 2.5K 
[   ]perl-uri-redis-doc-0.02-r0.apk2024-10-25 20:53 4.3K 
[   ]perl-uri-redis-0.02-r0.apk2024-10-25 20:53 2.9K 
[   ]perl-uri-nested-doc-0.10-r0.apk2024-10-25 20:53 3.6K 
[   ]perl-uri-nested-0.10-r0.apk2024-10-25 20:53 3.7K 
[   ]perl-uri-find-doc-20160806-r0.apk2025-03-23 07:59 8.9K 
[   ]perl-uri-find-20160806-r0.apk2025-03-23 07:59 13K 
[   ]perl-uri-fetch-doc-0.15-r0.apk2024-10-25 20:53 7.3K 
[   ]perl-uri-fetch-0.15-r0.apk2024-10-25 20:53 6.8K 
[   ]perl-uri-db-doc-0.23-r0.apk2025-01-09 05:27 8.1K 
[   ]perl-uri-db-0.23-r0.apk2025-01-09 05:27 11K 
[   ]perl-types-path-tiny-doc-0.006-r0.apk2024-10-25 20:53 3.8K 
[   ]perl-types-path-tiny-0.006-r0.apk2024-10-25 20:53 3.7K 
[   ]perl-tree-simple-visitorfactory-doc-0.16-r0.apk2025-03-19 13:45 46K 
[   ]perl-tree-simple-visitorfactory-0.16-r0.apk2025-03-19 13:45 20K 
[   ]perl-time-timegm-doc-0.01-r10.apk2025-06-30 09:24 3.6K 
[   ]perl-time-timegm-0.01-r10.apk2025-06-30 09:24 6.7K 
[   ]perl-time-moment-role-timezone-doc-1.000-r0.apk2024-10-25 20:53 3.7K 
[   ]perl-time-moment-role-timezone-1.000-r0.apk2024-10-25 20:53 3.3K 
[   ]perl-time-moment-role-strptime-doc-0.001-r0.apk2024-10-25 20:53 3.1K 
[   ]perl-time-moment-role-strptime-0.001-r0.apk2024-10-25 20:53 2.5K 
[   ]perl-time-moment-doc-0.44-r1.apk2025-06-30 09:24 31K 
[   ]perl-time-moment-0.44-r1.apk2025-06-30 09:24 43K 
[   ]perl-tie-toobject-doc-0.03-r0.apk2025-03-19 13:45 2.9K 
[   ]perl-tie-toobject-0.03-r0.apk2025-03-19 13:45 2.3K 
[   ]perl-tickit-widget-scrollbox-doc-0.12-r0.apk2024-10-25 20:53 6.3K 
[   ]perl-tickit-widget-scrollbox-0.12-r0.apk2024-10-25 20:53 7.7K 
[   ]perl-tickit-widget-menu-doc-0.16-r0.apk2024-10-25 20:53 6.7K 
[   ]perl-tickit-widget-menu-0.16-r0.apk2024-10-25 20:53 6.9K 
[   ]perl-tickit-widget-floatbox-doc-0.11-r0.apk2024-10-25 20:53 3.9K 
[   ]perl-tickit-widget-floatbox-0.11-r0.apk2024-10-25 20:53 4.4K 
[   ]perl-tickit-widget-entry-plugin-completion-doc-0.02-r0.apk2024-10-25 20:53 3.6K 
[   ]perl-tickit-widget-entry-plugin-completion-0.02-r0.apk2024-10-25 20:53 4.4K 
[   ]perl-tickit-widget-choice-doc-0.07-r0.apk2024-10-25 20:53 3.1K 
[   ]perl-tickit-widget-choice-0.07-r0.apk2024-10-25 20:53 3.7K 
[   ]perl-throwable-doc-1.001-r1.apk2024-10-25 20:53 7.7K 
[   ]perl-throwable-1.001-r1.apk2024-10-25 20:53 6.0K 
[   ]perl-text-worddiff-doc-0.09-r0.apk2025-06-09 16:26 13K 
[   ]perl-text-worddiff-0.09-r0.apk2025-06-09 16:26 10K 
[   ]perl-text-table-sprintf-doc-0.008-r0.apk2024-10-25 20:53 5.0K 
[   ]perl-text-table-sprintf-0.008-r0.apk2024-10-25 20:53 5.1K 
[   ]perl-text-table-any-doc-0.117-r0.apk2024-10-25 20:53 6.4K 
[   ]perl-text-table-any-0.117-r0.apk2024-10-25 20:53 7.8K 
[   ]perl-text-simpletable-doc-2.07-r0.apk2025-03-19 13:45 3.2K 
[   ]perl-text-simpletable-2.07-r0.apk2025-03-19 13:45 4.2K 
[   ]perl-text-german-doc-0.06-r0.apk2025-06-07 02:00 2.8K 
[   ]perl-text-german-0.06-r0.apk2025-06-07 02:00 13K 
[   ]perl-text-brew-doc-0.02-r5.apk2024-10-25 20:53 3.9K 
[   ]perl-text-brew-0.02-r5.apk2024-10-25 20:53 4.3K 
[   ]perl-test2-tools-explain-doc-0.02-r0.apk2024-10-25 20:53 4.2K 
[   ]perl-test2-tools-explain-0.02-r0.apk2024-10-25 20:53 3.6K 
[   ]perl-test-www-mechanize-doc-1.60-r0.apk2025-04-13 14:57 9.8K 
[   ]perl-test-www-mechanize-catalyst-doc-0.62-r0.apk2025-04-20 04:33 6.0K 
[   ]perl-test-www-mechanize-catalyst-0.62-r0.apk2025-04-20 04:33 7.1K 
[   ]perl-test-www-mechanize-1.60-r0.apk2025-04-13 14:57 15K 
[   ]perl-test-utf8-doc-1.03-r0.apk2024-11-16 15:09 4.6K 
[   ]perl-test-utf8-1.03-r0.apk2024-11-16 15:09 5.3K 
[   ]perl-test-useallmodules-doc-0.17-r1.apk2024-10-25 20:53 3.6K 
[   ]perl-test-useallmodules-0.17-r1.apk2024-10-25 20:53 3.6K 
[   ]perl-test-unit-doc-0.27-r0.apk2024-10-25 20:53 48K 
[   ]perl-test-unit-0.27-r0.apk2024-10-25 20:53 37K 
[   ]perl-test-trap-doc-0.3.5-r1.apk2024-10-25 20:53 20K 
[   ]perl-test-trap-0.3.5-r1.apk2024-10-25 20:53 20K 
[   ]perl-test-toolbox-doc-0.4-r5.apk2024-10-25 20:53 5.9K 
[   ]perl-test-toolbox-0.4-r5.apk2024-10-25 20:53 9.6K 
[   ]perl-test-timer-doc-2.12-r2.apk2024-10-25 20:53 8.1K 
[   ]perl-test-timer-2.12-r2.apk2024-10-25 20:53 8.6K 
[   ]perl-test-settings-doc-0.003-r0.apk2024-10-25 20:53 5.8K 
[   ]perl-test-settings-0.003-r0.apk2024-10-25 20:53 4.7K 
[   ]perl-test-roo-doc-1.004-r3.apk2024-10-25 20:53 15K 
[   ]perl-test-roo-1.004-r3.apk2024-10-25 20:53 12K 
[   ]perl-test-requires-git-doc-1.008-r0.apk2024-10-25 20:53 4.1K 
[   ]perl-test-requires-git-1.008-r0.apk2024-10-25 20:53 4.5K 
[   ]perl-test-redisserver-doc-0.23-r0.apk2024-10-25 20:53 3.8K 
[   ]perl-test-redisserver-0.23-r0.apk2024-10-25 20:53 4.7K 
[   ]perl-test-randomresult-doc-0.001-r0.apk2024-10-25 20:53 3.4K 
[   ]perl-test-randomresult-0.001-r0.apk2024-10-25 20:53 3.3K 
[   ]perl-test-perl-critic-doc-1.04-r0.apk2025-07-11 16:51 6.2K 
[   ]perl-test-perl-critic-1.04-r0.apk2025-07-11 16:51 6.6K 
[   ]perl-test-modern-doc-0.013-r3.apk2024-10-25 20:53 9.6K 
[   ]perl-test-modern-0.013-r3.apk2024-10-25 20:53 14K 
[   ]perl-test-memorygrowth-doc-0.05-r0.apk2024-10-25 20:53 5.0K 
[   ]perl-test-memorygrowth-0.05-r0.apk2024-10-25 20:53 6.2K 
[   ]perl-test-lwp-useragent-doc-0.036-r0.apk2024-10-25 20:53 8.1K 
[   ]perl-test-lwp-useragent-0.036-r0.apk2024-10-25 20:53 9.6K 
[   ]perl-test-kwalitee-doc-1.28-r0.apk2025-07-12 13:45 6.6K 
[   ]perl-test-kwalitee-1.28-r0.apk2025-07-12 13:45 6.1K 
[   ]perl-test-files-doc-0.26-r0.apk2024-10-25 20:53 14K 
[   ]perl-test-files-0.26-r0.apk2024-10-25 20:53 6.5K 
[   ]perl-test-file-doc-1.995-r0.apk2025-04-19 17:56 6.5K 
[   ]perl-test-file-1.995-r0.apk2025-04-19 17:56 11K 
[   ]perl-test-expect-doc-0.34-r0.apk2025-04-21 17:35 3.3K 
[   ]perl-test-expect-0.34-r0.apk2025-04-21 17:35 3.2K 
[   ]perl-test-expander-doc-2.5.1-r0.apk2024-10-25 20:53 20K 
[   ]perl-test-expander-2.5.1-r0.apk2024-10-25 20:53 6.9K 
[   ]perl-test-distribution-doc-2.00-r1.apk2024-10-25 20:53 5.8K 
[   ]perl-test-distribution-2.00-r1.apk2024-10-25 20:53 7.5K 
[   ]perl-test-describeme-doc-0.004-r0.apk2024-10-25 20:53 3.9K 
[   ]perl-test-describeme-0.004-r0.apk2024-10-25 20:53 3.3K 
[   ]perl-test-class-tiny-doc-0.03-r0.apk2024-10-25 20:53 5.2K 
[   ]perl-test-class-tiny-0.03-r0.apk2024-10-25 20:53 5.6K 
[   ]perl-test-api-doc-0.010-r2.apk2024-10-25 20:53 4.0K 
[   ]perl-test-api-0.010-r2.apk2024-10-25 20:53 4.8K 
[   ]perl-term-ui-doc-0.50-r1.apk2024-10-25 20:53 8.2K 
[   ]perl-term-ui-0.50-r1.apk2024-10-25 20:53 9.7K 
[   ]perl-term-size-doc-0.211-r5.apk2025-06-30 09:24 3.5K 
[   ]perl-term-size-0.211-r5.apk2025-06-30 09:24 5.8K 
[   ]perl-template-tiny-doc-1.16-r0.apk2025-07-24 12:36 4.8K 
[   ]perl-template-tiny-1.16-r0.apk2025-07-24 12:36 5.3K 
[   ]perl-template-timer-doc-1.00-r0.apk2025-04-12 15:52 3.4K 
[   ]perl-template-timer-1.00-r0.apk2025-04-12 15:52 3.2K 
[   ]perl-template-plugin-number-format-doc-1.06-r4.apk2024-10-25 20:53 4.1K 
[   ]perl-template-plugin-number-format-1.06-r4.apk2024-10-25 20:53 4.6K 
[   ]perl-template-plugin-csv-doc-0.04-r3.apk2024-10-25 20:53 2.8K 
[   ]perl-template-plugin-csv-0.04-r3.apk2024-10-25 20:53 2.5K 
[   ]perl-task-catalyst-doc-4.02-r0.apk2025-06-15 04:51 3.4K 
[   ]perl-task-catalyst-4.02-r0.apk2025-06-15 04:51 2.7K 
[   ]perl-system-command-doc-1.122-r0.apk2024-10-25 20:53 9.9K 
[   ]perl-system-command-1.122-r0.apk2024-10-25 20:53 12K 
[   ]perl-sys-virt-doc-11.6.0-r0.apk2025-08-14 13:43 105K 
[   ]perl-sys-virt-11.6.0-r0.apk2025-08-14 13:43 214K 
[   ]perl-sys-syscall-doc-0.25-r10.apk2024-10-25 20:53 3.4K 
[   ]perl-sys-syscall-0.25-r10.apk2024-10-25 20:53 5.1K 
[   ]perl-syntax-operator-in-doc-0.10-r1.apk2025-06-30 09:24 5.6K 
[   ]perl-syntax-operator-in-0.10-r1.apk2025-06-30 09:24 9.8K 
[   ]perl-syntax-operator-equ-doc-0.10-r1.apk2025-06-30 09:24 6.3K 
[   ]perl-syntax-operator-equ-0.10-r1.apk2025-06-30 09:24 8.2K 
[   ]perl-syntax-keyword-match-doc-0.15-r1.apk2025-06-30 09:24 7.6K 
[   ]perl-syntax-keyword-match-0.15-r1.apk2025-06-30 09:24 14K 
[   ]perl-string-toidentifier-en-doc-0.12-r0.apk2025-06-09 12:07 5.3K 
[   ]perl-string-toidentifier-en-0.12-r0.apk2025-06-09 12:07 5.2K 
[   ]perl-string-random-doc-0.32-r2.apk2024-10-25 20:53 5.9K 
[   ]perl-string-random-0.32-r2.apk2024-10-25 20:53 7.7K 
[   ]perl-string-escape-doc-2010.002-r0.apk2025-03-28 16:14 7.6K 
[   ]perl-string-escape-2010.002-r0.apk2025-03-28 16:14 8.6K 
[   ]perl-string-crc32-doc-2.100-r5.apk2025-06-30 09:24 3.2K 
[   ]perl-string-crc32-2.100-r5.apk2025-06-30 09:24 7.2K 
[   ]perl-string-compare-constanttime-doc-0.321-r7.apk2025-06-30 09:24 5.0K 
[   ]perl-string-compare-constanttime-0.321-r7.apk2025-06-30 09:24 7.5K 
[   ]perl-string-camelcase-doc-0.04-r2.apk2024-10-25 20:53 3.2K 
[   ]perl-string-camelcase-0.04-r2.apk2024-10-25 20:53 2.9K 
[   ]perl-storable-improved-doc-0.1.3-r0.apk2024-10-25 20:53 6.7K 
[   ]perl-storable-improved-0.1.3-r0.apk2024-10-25 20:53 6.4K 
[   ]perl-statistics-descriptive-doc-3.0801-r0.apk2024-10-25 20:53 37K 
[   ]perl-statistics-descriptive-3.0801-r0.apk2024-10-25 20:53 30K 
[   ]perl-statistics-basic-doc-1.6611-r0.apk2024-10-25 20:53 50K 
[   ]perl-statistics-basic-1.6611-r0.apk2024-10-25 20:53 9.0K 
[   ]perl-starman-doc-0.4017-r0.apk2024-10-25 20:53 9.7K 
[   ]perl-starman-0.4017-r0.apk2024-10-25 20:53 13K 
[   ]perl-sql-abstract-more-doc-1.44-r0.apk2025-07-14 05:59 16K 
[   ]perl-sql-abstract-more-1.44-r0.apk2025-07-14 05:59 27K 
[   ]perl-sql-abstract-classic-doc-1.91-r1.apk2024-10-25 20:53 20K 
[   ]perl-sql-abstract-classic-1.91-r1.apk2024-10-25 20:53 29K 
[   ]perl-sort-versions-doc-1.62-r0.apk2024-10-25 20:53 3.9K 
[   ]perl-sort-versions-1.62-r0.apk2024-10-25 20:53 3.5K 
[   ]perl-sort-naturally-doc-1.03-r4.apk2024-10-25 20:53 5.2K 
[   ]perl-sort-naturally-1.03-r4.apk2024-10-25 20:53 8.4K 
[   ]perl-software-license-doc-0.104007-r0.apk2025-07-08 16:54 50K 
[   ]perl-software-license-0.104007-r0.apk2025-07-08 16:54 106K 
[   ]perl-soap-lite-doc-1.27-r5.apk2024-10-25 20:53 90K 
[   ]perl-soap-lite-1.27-r5.apk2024-10-25 20:53 110K 
[   ]perl-snowball-swedish-doc-1.2-r0.apk2025-06-08 16:43 3.6K 
[   ]perl-snowball-swedish-1.2-r0.apk2025-06-08 16:43 5.0K 
[   ]perl-snowball-norwegian-doc-1.2-r0.apk2025-06-08 16:43 3.6K 
[   ]perl-snowball-norwegian-1.2-r0.apk2025-06-08 16:43 5.0K 
[   ]perl-snmp-info-doc-3.974000-r0.apk2025-09-12 04:50 389K 
[   ]perl-snmp-info-3.974000-r0.apk2025-09-12 04:50 337K 
[   ]perl-snmp-doc-5.0404-r14.apk2025-06-30 09:24 14K 
[   ]perl-snmp-5.0404-r14.apk2025-06-30 09:24 75K 
[   ]perl-smart-comments-doc-1.06-r0.apk2025-07-07 17:02 8.3K 
[   ]perl-smart-comments-1.06-r0.apk2025-07-07 17:02 12K 
[   ]perl-signature-attribute-checked-doc-0.06-r1.apk2025-06-30 09:24 4.4K 
[   ]perl-signature-attribute-checked-0.06-r1.apk2025-06-30 09:24 8.0K 
[   ]perl-shell-guess-doc-0.10-r0.apk2025-06-07 14:55 5.5K 
[   ]perl-shell-guess-0.10-r0.apk2025-06-07 14:55 5.7K 
[   ]perl-shell-config-generate-doc-0.34-r0.apk2025-06-08 16:43 6.6K 
[   ]perl-shell-config-generate-0.34-r0.apk2025-06-08 16:43 7.6K 
[   ]perl-set-infinite-doc-0.65-r0.apk2025-07-21 08:48 11K 
[   ]perl-set-infinite-0.65-r0.apk2025-07-21 08:48 23K 
[   ]perl-session-storage-secure-doc-1.000-r2.apk2024-10-25 20:53 7.1K 
[   ]perl-session-storage-secure-1.000-r2.apk2024-10-25 20:53 8.6K 
[   ]perl-scalar-readonly-doc-0.03-r2.apk2025-06-30 09:24 3.2K 
[   ]perl-scalar-readonly-0.03-r2.apk2025-06-30 09:24 5.4K 
[   ]perl-ryu-doc-4.001-r0.apk2024-10-25 20:53 35K 
[   ]perl-ryu-async-doc-0.020-r0.apk2024-10-25 20:53 12K 
[   ]perl-ryu-async-0.020-r0.apk2024-10-25 20:53 7.2K 
[   ]perl-ryu-4.001-r0.apk2024-10-25 20:53 26K 
[   ]perl-rxperl-mojo-doc-6.8.2-r0.apk2024-10-25 20:53 8.8K 
[   ]perl-rxperl-mojo-6.8.2-r0.apk2024-10-25 20:53 2.6K 
[   ]perl-rxperl-ioasync-doc-6.9.1-r0.apk2024-10-25 20:53 8.7K 
[   ]perl-rxperl-ioasync-6.9.1-r0.apk2024-10-25 20:53 2.6K 
[   ]perl-rxperl-doc-6.29.8-r0.apk2024-10-25 20:53 22K 
[   ]perl-rxperl-anyevent-doc-6.8.1-r0.apk2024-10-25 20:53 8.7K 
[   ]perl-rxperl-anyevent-6.8.1-r0.apk2024-10-25 20:53 2.5K 
[   ]perl-rxperl-6.29.8-r0.apk2024-10-25 20:53 26K 
[   ]perl-role-eventemitter-doc-0.003-r0.apk2024-10-25 20:53 3.7K 
[   ]perl-role-eventemitter-0.003-r0.apk2024-10-25 20:53 3.4K 
[   ]perl-regexp-trie-doc-0.02-r0.apk2025-07-12 07:22 3.0K 
[   ]perl-regexp-trie-0.02-r0.apk2025-07-12 07:22 2.7K 
[   ]perl-ref-util-xs-doc-0.117-r9.apk2025-06-30 09:24 3.2K 
[   ]perl-ref-util-xs-0.117-r9.apk2025-06-30 09:24 11K 
[   ]perl-protocol-redis-faster-doc-0.003-r0.apk2024-10-25 20:53 3.1K 
[   ]perl-protocol-redis-faster-0.003-r0.apk2024-10-25 20:53 3.2K 
[   ]perl-protocol-redis-doc-1.0021-r0.apk2024-10-25 20:53 4.8K 
[   ]perl-protocol-redis-1.0021-r0.apk2024-10-25 20:53 5.4K 
[   ]perl-protocol-database-postgresql-doc-2.001-r0.apk2024-10-25 20:53 37K 
[   ]perl-protocol-database-postgresql-2.001-r0.apk2024-10-25 20:53 19K 
[   ]perl-promise-xs-doc-0.20-r2.apk2025-06-30 09:24 8.5K 
[   ]perl-promise-xs-0.20-r2.apk2025-06-30 09:24 26K 
[   ]perl-promise-me-doc-0.6.0-r0.apk2025-08-09 12:15 13K 
[   ]perl-promise-me-0.6.0-r0.apk2025-08-09 12:15 27K 
[   ]perl-promise-es6-mojo-ioloop-0.28-r0.apk2024-10-25 20:53 2.3K 
[   ]perl-promise-es6-io-async-0.28-r0.apk2024-10-25 20:53 2.7K 
[   ]perl-promise-es6-future-0.28-r0.apk2024-10-25 20:53 2.0K 
[   ]perl-promise-es6-doc-0.28-r0.apk2024-10-25 20:53 12K 
[   ]perl-promise-es6-anyevent-0.28-r0.apk2024-10-25 20:53 2.3K 
[   ]perl-promise-es6-0.28-r0.apk2024-10-25 20:53 10K 
[   ]perl-proc-guard-doc-0.07-r4.apk2024-10-25 20:53 3.3K 
[   ]perl-proc-guard-0.07-r4.apk2024-10-25 20:53 3.4K 
[   ]perl-prereqscanner-notquitelite-doc-0.9917-r0.apk2025-07-12 13:45 49K 
[   ]perl-prereqscanner-notquitelite-0.9917-r0.apk2025-07-12 13:45 41K 
[   ]perl-ppi-xs-doc-0.910-r2.apk2025-06-30 09:24 3.2K 
[   ]perl-ppi-xs-0.910-r2.apk2025-06-30 09:24 5.9K 
[   ]perl-power-tools-doc-1.052-r0.apk2025-07-21 08:48 247K 
[   ]perl-power-tools-1.052-r0.apk2025-07-21 08:48 365K 
[   ]perl-pod-tidy-doc-0.10-r1.apk2024-10-25 20:53 10K 
[   ]perl-pod-tidy-0.10-r1.apk2024-10-25 20:53 10K 
[   ]perl-pod-cpandoc-doc-0.16-r6.apk2024-10-25 20:53 4.6K 
[   ]perl-pod-cpandoc-0.16-r6.apk2024-10-25 20:53 4.3K 
[   ]perl-plack-test-externalserver-doc-0.02-r0.apk2025-03-19 13:45 2.9K 
[   ]perl-plack-test-externalserver-0.02-r0.apk2025-03-19 13:45 2.6K 
[   ]perl-plack-middleware-reverseproxy-doc-0.16-r2.apk2024-10-25 20:53 2.8K 
[   ]perl-plack-middleware-reverseproxy-0.16-r2.apk2024-10-25 20:53 2.9K 
[   ]perl-plack-middleware-removeredundantbody-doc-0.09-r0.apk2024-12-27 14:02 2.8K 
[   ]perl-plack-middleware-removeredundantbody-0.09-r0.apk2024-12-27 14:02 2.2K 
[   ]perl-plack-middleware-methodoverride-doc-0.20-r0.apk2025-03-19 13:45 3.8K 
[   ]perl-plack-middleware-methodoverride-0.20-r0.apk2025-03-19 13:45 3.4K 
[   ]perl-plack-middleware-fixmissingbodyinredirect-doc-0.12-r0.apk2024-12-26 09:37 2.8K 
[   ]perl-plack-middleware-fixmissingbodyinredirect-0.12-r0.apk2024-12-26 09:37 2.8K 
[   ]perl-plack-middleware-expires-doc-0.06-r3.apk2024-10-25 20:53 3.1K 
[   ]perl-plack-middleware-expires-0.06-r3.apk2024-10-25 20:53 3.6K 
[   ]perl-perlio-locale-doc-0.10-r13.apk2025-06-30 09:24 2.9K 
[   ]perl-perlio-locale-0.10-r13.apk2025-06-30 09:24 4.6K 
[   ]perl-path-iter-doc-0.2-r3.apk2024-10-25 20:53 4.9K 
[   ]perl-path-iter-0.2-r3.apk2024-10-25 20:53 5.0K 
[   ]perl-path-dispatcher-doc-1.08-r0.apk2025-06-09 12:06 37K 
[   ]perl-path-dispatcher-1.08-r0.apk2025-06-09 12:06 14K 
[   ]perl-parse-distname-doc-0.05-r0.apk2025-07-11 08:48 4.1K 
[   ]perl-parse-distname-0.05-r0.apk2025-07-11 08:48 5.2K 
[   ]perl-pango-doc-1.227-r12.apk2025-06-30 09:24 82K 
[   ]perl-pango-1.227-r12.apk2025-06-30 09:24 80K 
[   ]perl-opentracing-doc-1.006-r0.apk2024-10-25 20:53 32K 
[   ]perl-opentracing-1.006-r0.apk2024-10-25 20:53 18K 
[   ]perl-openapi-client-doc-1.07-r0.apk2024-10-25 20:53 7.1K 
[   ]perl-openapi-client-1.07-r0.apk2024-10-25 20:53 8.4K 
[   ]perl-object-signature-doc-1.08-r0.apk2025-04-04 11:57 5.1K 
[   ]perl-object-signature-1.08-r0.apk2025-04-04 11:57 3.4K 
[   ]perl-object-pad-fieldattr-checked-doc-0.12-r1.apk2025-06-30 09:24 4.3K 
[   ]perl-object-pad-fieldattr-checked-0.12-r1.apk2025-06-30 09:24 8.7K 
[   ]perl-object-array-doc-0.060-r0.apk2024-10-25 20:53 6.7K 
[   ]perl-object-array-0.060-r0.apk2024-10-25 20:53 5.4K 
[   ]perl-number-tolerant-doc-1.710-r0.apk2024-10-25 20:53 25K 
[   ]perl-number-tolerant-1.710-r0.apk2024-10-25 20:53 15K 
[   ]perl-number-misc-doc-1.2-r5.apk2024-10-25 20:53 4.1K 
[   ]perl-number-misc-1.2-r5.apk2024-10-25 20:53 4.9K 
[   ]perl-number-format-doc-1.76-r1.apk2024-10-25 20:53 8.7K 
[   ]perl-number-format-1.76-r1.apk2024-10-25 20:53 15K 
[   ]perl-nice-try-doc-1.3.17-r0.apk2025-07-19 17:52 12K 
[   ]perl-nice-try-1.3.17-r0.apk2025-07-19 17:52 28K 
[   ]perl-netaddr-mac-doc-0.98-r1.apk2024-10-25 20:53 7.7K 
[   ]perl-netaddr-mac-0.98-r1.apk2024-10-25 20:53 11K 
[   ]perl-net-xmpp-doc-1.05-r0.apk2024-10-25 20:53 43K 
[   ]perl-net-xmpp-1.05-r0.apk2024-10-25 20:53 57K 
[   ]perl-net-patricia-doc-1.23-r0.apk2025-08-16 14:46 6.0K 
[   ]perl-net-patricia-1.23-r0.apk2025-08-16 14:46 22K 
[   ]perl-net-netmask-doc-2.0003-r0.apk2025-05-18 04:10 8.3K 
[   ]perl-net-netmask-2.0003-r0.apk2025-05-18 04:10 13K 
[   ]perl-net-jabber-doc-2.0-r0.apk2024-10-25 20:53 48K 
[   ]perl-net-jabber-bot-doc-2.1.7-r0.apk2024-10-25 20:53 7.3K 
[   ]perl-net-jabber-bot-2.1.7-r0.apk2024-10-25 20:53 13K 
[   ]perl-net-jabber-2.0-r0.apk2024-10-25 20:53 50K 
[   ]perl-net-irr-doc-0.10-r0.apk2024-10-25 20:53 4.9K 
[   ]perl-net-irr-0.10-r0.apk2024-10-25 20:53 5.2K 
[   ]perl-net-idn-encode-doc-2.500-r2.apk2025-06-30 09:24 22K 
[   ]perl-net-idn-encode-2.500-r2.apk2025-06-30 09:24 84K 
[   ]perl-net-curl-promiser-mojo-0.20-r0.apk2024-10-25 20:53 2.9K 
[   ]perl-net-curl-promiser-ioasync-0.20-r0.apk2024-10-25 20:53 2.7K 
[   ]perl-net-curl-promiser-doc-0.20-r0.apk2024-10-25 20:53 11K 
[   ]perl-net-curl-promiser-anyevent-0.20-r0.apk2024-10-25 20:53 2.5K 
[   ]perl-net-curl-promiser-0.20-r0.apk2024-10-25 20:53 8.6K 
[   ]perl-net-curl-doc-0.57-r1.apk2025-06-30 09:24 39K 
[   ]perl-net-curl-0.57-r1.apk2025-06-30 09:24 64K 
[   ]perl-net-async-redis-xs-doc-1.001-r2.apk2025-06-30 09:24 5.1K 
[   ]perl-net-async-redis-xs-1.001-r2.apk2025-06-30 09:24 9.7K 
[   ]perl-net-async-redis-doc-6.006-r0.apk2024-12-11 16:22 66K 
[   ]perl-net-async-redis-6.006-r0.apk2024-12-11 16:22 58K 
[   ]perl-net-amqp-rabbitmq-doc-2.40012-r1.apk2025-06-30 09:24 9.5K 
[   ]perl-net-amqp-rabbitmq-2.40012-r1.apk2025-06-30 09:24 78K 
[   ]perl-net-address-ip-local-doc-0.1.2-r0.apk2024-10-25 20:53 3.2K 
[   ]perl-net-address-ip-local-0.1.2-r0.apk2024-10-25 20:53 3.2K 
[   ]perl-musicbrainz-discid-doc-0.06-r2.apk2025-06-30 09:24 4.1K 
[   ]perl-musicbrainz-discid-0.06-r2.apk2025-06-30 09:24 9.1K 
[   ]perl-multidimensional-doc-0.014-r1.apk2025-06-30 09:24 2.9K 
[   ]perl-multidimensional-0.014-r1.apk2025-06-30 09:24 5.0K 
[   ]perl-moox-typetiny-doc-0.002003-r0.apk2025-06-09 12:06 2.9K 
[   ]perl-moox-typetiny-0.002003-r0.apk2025-06-09 12:06 3.3K 
[   ]perl-moosex-types-stringlike-doc-0.003-r0.apk2025-03-15 14:09 3.3K 
[   ]perl-moosex-types-stringlike-0.003-r0.apk2025-03-15 14:09 2.8K 
[   ]perl-moosex-types-path-tiny-doc-0.012-r0.apk2025-03-15 14:09 3.9K 
[   ]perl-moosex-types-path-tiny-0.012-r0.apk2025-03-15 14:09 3.7K 
[   ]perl-moosex-types-loadableclass-doc-0.016-r0.apk2025-05-04 02:50 3.5K 
[   ]perl-moosex-types-loadableclass-0.016-r0.apk2025-05-04 02:50 3.0K 
[   ]perl-moosex-traits-pluggable-doc-0.12-r0.apk2025-05-04 02:50 3.9K 
[   ]perl-moosex-traits-pluggable-0.12-r0.apk2025-05-04 02:50 4.7K 
[   ]perl-moosex-simpleconfig-doc-0.11-r0.apk2025-03-16 04:16 3.9K 
[   ]perl-moosex-simpleconfig-0.11-r0.apk2025-03-16 04:16 3.5K 
[   ]perl-moosex-role-parameterized-doc-1.11-r0.apk2025-03-15 14:09 23K 
[   ]perl-moosex-role-parameterized-1.11-r0.apk2025-03-15 14:09 7.5K 
[   ]perl-moosex-relatedclassroles-doc-0.004-r0.apk2025-05-04 02:50 3.1K 
[   ]perl-moosex-relatedclassroles-0.004-r0.apk2025-05-04 02:50 2.5K 
[   ]perl-moosex-object-pluggable-doc-0.0014-r0.apk2025-04-21 17:33 5.2K 
[   ]perl-moosex-object-pluggable-0.0014-r0.apk2025-04-21 17:33 5.7K 
[   ]perl-moosex-nonmoose-doc-0.27-r1.apk2025-06-19 10:55 9.2K 
[   ]perl-moosex-nonmoose-0.27-r1.apk2025-06-19 10:55 7.9K 
[   ]perl-moosex-methodattributes-doc-0.32-r0.apk2025-03-19 13:45 22K 
[   ]perl-moosex-methodattributes-0.32-r0.apk2025-03-19 13:45 8.3K 
[   ]perl-moosex-markasmethods-doc-0.15-r0.apk2025-06-05 23:38 4.9K 
[   ]perl-moosex-markasmethods-0.15-r0.apk2025-06-05 23:38 4.8K 
[   ]perl-moosex-getopt-doc-0.78-r0.apk2025-03-16 04:16 24K 
[   ]perl-moosex-getopt-0.78-r0.apk2025-03-16 04:16 13K 
[   ]perl-moosex-emulate-class-accessor-fast-doc-0.009032-r0.apk2025-03-16 04:16 5.1K 
[   ]perl-moosex-emulate-class-accessor-fast-0.009032-r0.apk2025-03-16 04:16 5.2K 
[   ]perl-moosex-configfromfile-doc-0.14-r0.apk2025-03-16 04:16 3.9K 
[   ]perl-moosex-configfromfile-0.14-r0.apk2025-03-16 04:16 3.8K 
[   ]perl-mojolicious-plugin-openapi-doc-5.11-r0.apk2025-03-19 13:45 33K 
[   ]perl-mojolicious-plugin-openapi-5.11-r0.apk2025-03-19 13:45 28K 
[   ]perl-mojo-sqlite-doc-3.009-r0.apk2024-10-25 20:53 19K 
[   ]perl-mojo-sqlite-3.009-r0.apk2024-10-25 20:53 16K 
[   ]perl-mojo-redis-doc-3.29-r0.apk2024-10-25 20:53 24K 
[   ]perl-mojo-redis-3.29-r0.apk2024-10-25 20:53 25K 
[   ]perl-mojo-reactor-ioasync-doc-1.002-r0.apk2024-10-25 20:53 4.1K 
[   ]perl-mojo-reactor-ioasync-1.002-r0.apk2024-10-25 20:53 4.5K 
[   ]perl-module-path-doc-0.19-r0.apk2025-06-08 16:43 5.5K 
[   ]perl-module-path-0.19-r0.apk2025-06-08 16:43 4.5K 
[   ]perl-module-generic-doc-1.1.0-r0.apk2025-10-03 08:45 259K 
[   ]perl-module-generic-1.1.0-r0.apk2025-10-03 08:45 316K 
[   ]perl-module-extract-version-doc-1.119-r0.apk2025-09-06 17:18 3.2K 
[   ]perl-module-extract-version-1.119-r0.apk2025-09-06 17:18 3.0K 
[   ]perl-module-cpants-analyse-doc-1.02-r0.apk2025-07-12 13:45 28K 
[   ]perl-module-cpants-analyse-1.02-r0.apk2025-07-12 13:45 26K 
[   ]perl-module-build-prereqs-fromcpanfile-doc-0.02-r0.apk2024-10-25 20:53 3.5K 
[   ]perl-module-build-prereqs-fromcpanfile-0.02-r0.apk2024-10-25 20:53 3.2K 
[   ]perl-minion-doc-11.0-r0.apk2025-08-27 09:26 50K 
[   ]perl-minion-backend-sqlite-doc-5.0.7-r0.apk2024-10-25 20:53 6.5K 
[   ]perl-minion-backend-sqlite-5.0.7-r0.apk2024-10-25 20:53 9.9K 
[   ]perl-minion-backend-redis-doc-0.003-r0.apk2024-10-25 20:53 6.3K 
[   ]perl-minion-backend-redis-0.003-r0.apk2024-10-25 20:53 10K 
[   ]perl-minion-backend-pg-11.0-r0.apk2025-08-27 09:26 9.3K 
[   ]perl-minion-11.0-r0.apk2025-08-27 09:26 1.5M 
[   ]perl-memoize-expirelru-doc-0.56-r0.apk2025-06-08 16:43 3.6K 
[   ]perl-memoize-expirelru-0.56-r0.apk2025-06-08 16:43 6.0K 
[   ]perl-mce-doc-1.902-r0.apk2025-09-10 04:01 171K 
[   ]perl-mce-1.902-r0.apk2025-09-10 04:01 134K 
[   ]perl-math-random-isaac-xs-doc-1.004-r9.apk2025-06-30 09:24 3.6K 
[   ]perl-math-random-isaac-xs-1.004-r9.apk2025-06-30 09:24 8.1K 
[   ]perl-math-random-doc-0.75-r0.apk2025-10-03 08:45 11K 
[   ]perl-math-random-0.75-r0.apk2025-10-03 08:45 39K 
[   ]perl-math-libm-doc-1.00-r15.apk2025-06-30 09:24 3.0K 
[   ]perl-math-libm-1.00-r15.apk2025-06-30 09:24 11K 
[   ]perl-math-int64-doc-0.57-r2.apk2025-06-30 09:24 10K 
[   ]perl-math-int64-0.57-r2.apk2025-06-30 09:24 29K 
[   ]perl-mastodon-client-doc-0.017-r0.apk2024-10-25 20:53 33K 
[   ]perl-mastodon-client-0.017-r0.apk2024-10-25 20:53 22K 
[   ]perl-lwp-useragent-cached-doc-0.08-r1.apk2024-10-25 20:53 5.4K 
[   ]perl-lwp-useragent-cached-0.08-r1.apk2024-10-25 20:53 6.1K 
[   ]perl-log-message-simple-doc-0.10-r3.apk2024-10-25 20:53 3.7K 
[   ]perl-log-message-simple-0.10-r3.apk2024-10-25 20:53 4.0K 
[   ]perl-log-message-doc-0.08-r3.apk2024-10-25 20:53 12K 
[   ]perl-log-message-0.08-r3.apk2024-10-25 20:53 10K 
[   ]perl-log-fu-doc-0.31-r4.apk2024-10-25 20:53 7.0K 
[   ]perl-log-fu-0.31-r4.apk2024-10-25 20:53 10K 
[   ]perl-list-keywords-doc-0.11-r1.apk2025-06-30 09:24 5.3K 
[   ]perl-list-keywords-0.11-r1.apk2025-06-30 09:24 16K 
[   ]perl-list-binarysearch-xs-doc-0.09-r2.apk2025-06-30 09:24 7.9K 
[   ]perl-list-binarysearch-xs-0.09-r2.apk2025-06-30 09:24 12K 
[   ]perl-list-binarysearch-doc-0.25-r0.apk2024-10-25 20:53 11K 
[   ]perl-list-binarysearch-0.25-r0.apk2024-10-25 20:53 9.7K 
[   ]perl-linux-pid-doc-0.04-r15.apk2025-06-30 09:24 2.8K 
[   ]perl-linux-pid-0.04-r15.apk2025-06-30 09:24 4.8K 
[   ]perl-lingua-stem-snowball-da-doc-1.01-r0.apk2025-06-08 16:43 2.8K 
[   ]perl-lingua-stem-snowball-da-1.01-r0.apk2025-06-08 16:43 4.1K 
[   ]perl-lingua-stem-ru-doc-0.04-r0.apk2025-06-06 14:57 3.5K 
[   ]perl-lingua-stem-ru-0.04-r0.apk2025-06-06 14:57 3.9K 
[   ]perl-lingua-stem-it-doc-0.02-r0.apk2025-06-06 14:57 3.3K 
[   ]perl-lingua-stem-it-0.02-r0.apk2025-06-06 14:57 5.0K 
[   ]perl-lingua-stem-fr-doc-0.02-r0.apk2025-06-06 14:57 3.7K 
[   ]perl-lingua-stem-fr-0.02-r0.apk2025-06-06 14:57 5.9K 
[   ]perl-lingua-stem-doc-2.31-r0.apk2025-06-08 16:43 33K 
[   ]perl-lingua-stem-2.31-r0.apk2025-06-08 16:43 12K 
[   ]perl-lingua-pt-stemmer-doc-0.02-r0.apk2025-06-07 02:00 4.0K 
[   ]perl-lingua-pt-stemmer-0.02-r0.apk2025-06-07 02:00 5.2K 
[   ]perl-lingua-en-words2nums-doc-0.18-r0.apk2025-06-07 14:56 3.3K 
[   ]perl-lingua-en-words2nums-0.18-r0.apk2025-06-07 14:56 4.3K 
[   ]perl-lingua-en-tagger-doc-0.31-r0.apk2025-06-08 16:43 4.2K 
[   ]perl-lingua-en-tagger-0.31-r0.apk2025-06-08 16:43 546K 
[   ]perl-lingua-en-number-isordinal-doc-0.05-r0.apk2025-06-08 16:43 3.2K 
[   ]perl-lingua-en-number-isordinal-0.05-r0.apk2025-06-08 16:43 2.8K 
[   ]perl-lingua-en-inflect-phrase-doc-0.20-r0.apk2025-06-09 12:07 3.6K 
[   ]perl-lingua-en-inflect-phrase-0.20-r0.apk2025-06-09 12:07 5.1K 
[   ]perl-lingua-en-inflect-number-doc-1.12-r0.apk2025-06-08 16:43 3.3K 
[   ]perl-lingua-en-inflect-number-1.12-r0.apk2025-06-08 16:43 2.9K 
[   ]perl-lingua-en-findnumber-doc-1.32-r0.apk2025-06-08 16:43 3.2K 
[   ]perl-lingua-en-findnumber-1.32-r0.apk2025-06-08 16:43 3.0K 
[   ]perl-libintl-perl-doc-1.35-r0.apk2025-01-16 13:36 571K 
[   ]perl-libintl-perl-1.35-r0.apk2025-01-16 13:36 305K 
[   ]perl-libapreq2-doc-2.17-r3.apk2025-06-30 09:24 37K 
[   ]perl-libapreq2-dev-2.17-r3.apk2025-06-30 09:24 59K 
[   ]perl-libapreq2-2.17-r3.apk2025-06-30 09:24 113K 
[   ]perl-lib-abs-doc-0.95-r0.apk2024-10-25 20:53 3.7K 
[   ]perl-lib-abs-0.95-r0.apk2024-10-25 20:53 3.6K 
[   ]perl-lexical-persistence-doc-1.023-r0.apk2025-04-26 08:14 7.3K 
[   ]perl-lexical-persistence-1.023-r0.apk2025-04-26 08:14 7.3K 
[   ]perl-json-validator-doc-5.15-r0.apk2025-03-19 13:45 34K 
[   ]perl-json-validator-5.15-r0.apk2025-03-19 13:45 58K 
[   ]perl-json-maybeutf8-doc-2.000-r0.apk2024-10-25 20:53 3.3K 
[   ]perl-json-maybeutf8-2.000-r0.apk2024-10-25 20:53 2.9K 
[   ]perl-io-sessiondata-1.03-r3.apk2024-10-25 20:53 5.5K 
[   ]perl-io-lambda-doc-1.34-r0.apk2024-10-25 20:53 68K 
[   ]perl-io-lambda-1.34-r0.apk2024-10-25 20:53 75K 
[   ]perl-io-interactive-doc-1.027-r0.apk2025-09-01 13:16 4.9K 
[   ]perl-io-interactive-1.027-r0.apk2025-09-01 13:16 5.1K 
[   ]perl-io-handle-util-doc-0.02-r0.apk2025-06-11 16:35 9.6K 
[   ]perl-io-handle-util-0.02-r0.apk2025-06-11 16:35 10K 
[   ]perl-indirect-doc-0.39-r2.apk2025-06-30 09:24 6.1K 
[   ]perl-indirect-0.39-r2.apk2025-06-30 09:24 16K 
[   ]perl-imager-doc-1.028-r1.apk2025-06-30 09:24 286K 
[   ]perl-imager-1.028-r1.apk2025-06-30 09:24 566K 
[   ]perl-i18n-langinfo-wide-doc-9-r4.apk2024-10-25 20:53 3.8K 
[   ]perl-i18n-langinfo-wide-9-r4.apk2024-10-25 20:53 4.0K 
[   ]perl-http-xsheaders-doc-0.400005-r2.apk2025-06-30 09:24 6.1K 
[   ]perl-http-xsheaders-0.400005-r2.apk2025-06-30 09:24 22K 
[   ]perl-http-thin-doc-0.006-r0.apk2024-10-25 20:53 3.2K 
[   ]perl-http-thin-0.006-r0.apk2024-10-25 20:53 2.8K 
[   ]perl-http-headers-actionpack-doc-0.09-r0.apk2025-06-13 18:18 40K 
[   ]perl-http-headers-actionpack-0.09-r0.apk2025-06-13 18:18 18K 
[   ]perl-html-treebuilder-xpath-doc-0.14-r0.apk2025-07-09 21:13 4.0K 
[   ]perl-html-treebuilder-xpath-0.14-r0.apk2025-07-09 21:13 7.5K 
[   ]perl-html-tableextract-doc-2.15-r4.apk2024-10-25 20:53 9.7K 
[   ]perl-html-tableextract-2.15-r4.apk2024-10-25 20:53 17K 
[   ]perl-html-selector-xpath-doc-0.28-r0.apk2024-10-25 20:53 3.8K 
[   ]perl-html-selector-xpath-0.28-r0.apk2024-10-25 20:53 6.0K 
[   ]perl-html-query-doc-0.09-r0.apk2025-06-16 11:51 9.8K 
[   ]perl-html-query-0.09-r0.apk2025-06-16 11:51 14K 
[   ]perl-html-object-doc-0.5.1-r0.apk2024-10-25 20:53 472K 
[   ]perl-html-object-0.5.1-r0.apk2024-10-25 20:53 348K 
[   ]perl-html-gumbo-doc-0.18-r2.apk2025-06-30 09:24 5.2K 
[   ]perl-html-gumbo-0.18-r2.apk2025-06-30 09:24 16K 
[   ]perl-html-formhandler-doc-0.40068-r0.apk2025-05-04 02:50 323K 
[   ]perl-html-formhandler-0.40068-r0.apk2025-05-04 02:50 135K 
[   ]perl-html-formatexternal-doc-26-r0.apk2025-06-07 14:55 23K 
[   ]perl-html-formatexternal-26-r0.apk2025-06-07 14:55 17K 
[   ]perl-hash-ordered-doc-0.014-r0.apk2024-10-25 20:53 19K 
[   ]perl-hash-ordered-0.014-r0.apk2024-10-25 20:53 9.5K 
[   ]perl-hash-merge-extra-doc-0.06-r0.apk2025-06-20 15:19 3.1K 
[   ]perl-hash-merge-extra-0.06-r0.apk2025-06-20 15:19 2.9K 
[   ]perl-guard-doc-1.023-r10.apk2025-06-30 09:24 5.1K 
[   ]perl-guard-1.023-r10.apk2025-06-30 09:24 8.7K 
[   ]perl-gtk3-doc-0.038-r1.apk2024-10-25 20:53 8.9K 
[   ]perl-gtk3-0.038-r1.apk2024-10-25 20:53 19K 
[   ]perl-gtk2-ex-widgetbits-doc-48-r3.apk2024-10-25 20:53 81K 
[   ]perl-gtk2-ex-widgetbits-48-r3.apk2024-10-25 20:53 65K 
[   ]perl-gtk2-ex-listmodelconcat-doc-11-r4.apk2024-10-25 20:53 7.0K 
[   ]perl-gtk2-ex-listmodelconcat-11-r4.apk2024-10-25 20:53 12K 
[   ]perl-gtk2-doc-1.24993-r7.apk2025-06-30 09:24 678K 
[   ]perl-gtk2-1.24993-r7.apk2025-06-30 09:24 884K 
[   ]perl-graphql-client-doc-0.605-r0.apk2024-10-25 20:53 14K 
[   ]perl-graphql-client-cli-0.605-r0.apk2024-10-25 20:53 7.6K 
[   ]perl-graphql-client-0.605-r0.apk2024-10-25 20:53 6.9K 
[   ]perl-glib-object-introspection-doc-0.052-r0.apk2025-10-03 08:45 11K 
[   ]perl-glib-object-introspection-0.052-r0.apk2025-10-03 08:45 64K 
[   ]perl-glib-ex-objectbits-doc-17-r0.apk2024-10-25 20:53 22K 
[   ]perl-glib-ex-objectbits-17-r0.apk2024-10-25 20:53 15K 
[   ]perl-git-version-compare-doc-1.005-r0.apk2024-10-25 20:53 4.6K 
[   ]perl-git-version-compare-1.005-r0.apk2024-10-25 20:53 5.1K 
[   ]perl-git-repository-doc-1.325-r0.apk2024-10-25 20:53 31K 
[   ]perl-git-repository-1.325-r0.apk2024-10-25 20:53 16K 
[   ]perl-git-raw-doc-0.90-r4.apk2025-06-30 09:24 119K 
[   ]perl-git-raw-0.90-r4.apk2025-06-30 09:24 176K 
[   ]perl-getopt-tabular-doc-0.3-r4.apk2024-10-25 20:53 16K 
[   ]perl-getopt-tabular-0.3-r4.apk2024-10-25 20:53 23K 
[   ]perl-getopt-long-descriptive-doc-0.116-r0.apk2024-12-31 09:40 11K 
[   ]perl-getopt-long-descriptive-0.116-r0.apk2024-12-31 09:40 14K 
[   ]perl-gearman-doc-2.004.015-r3.apk2024-10-25 20:53 20K 
[   ]perl-gearman-2.004.015-r3.apk2024-10-25 20:53 27K 
[   ]perl-future-queue-doc-0.52-r0.apk2024-10-25 20:53 4.0K 
[   ]perl-future-queue-0.52-r0.apk2024-10-25 20:53 3.8K 
[   ]perl-future-q-doc-0.120-r0.apk2024-10-25 20:53 8.9K 
[   ]perl-future-q-0.120-r0.apk2024-10-25 20:53 9.3K 
[   ]perl-future-http-doc-0.17-r0.apk2024-10-25 20:53 15K 
[   ]perl-future-http-0.17-r0.apk2024-10-25 20:53 9.0K 
[   ]perl-future-asyncawait-hooks-doc-0.02-r1.apk2025-06-30 09:24 3.1K 
[   ]perl-future-asyncawait-hooks-0.02-r1.apk2025-06-30 09:24 8.6K 
[   ]perl-full-doc-1.004-r0.apk2024-10-25 20:53 10K 
[   ]perl-full-1.004-r0.apk2024-10-25 20:53 6.9K 
[   ]perl-freezethaw-doc-0.5001-r2.apk2024-10-25 20:53 5.4K 
[   ]perl-freezethaw-0.5001-r2.apk2024-10-25 20:53 9.6K 
[   ]perl-flowd-doc-0.9.1-r11.apk2025-06-30 09:24 3.0K 
[   ]perl-flowd-0.9.1-r11.apk2025-06-30 09:24 20K 
[   ]perl-finance-quote-doc-1.66-r0.apk2025-07-12 13:45 87K 
[   ]perl-finance-quote-1.66-r0.apk2025-07-12 13:45 106K 
[   ]perl-file-treecreate-doc-0.0.1-r0.apk2025-07-11 08:48 4.1K 
[   ]perl-file-treecreate-0.0.1-r0.apk2025-07-11 08:48 3.8K 
[   ]perl-file-rename-doc-2.02-r0.apk2024-10-25 20:53 12K 
[   ]perl-file-rename-2.02-r0.apk2024-10-25 20:53 7.3K 
[   ]perl-file-mmagic-xs-doc-0.09008-r5.apk2025-06-30 09:24 4.0K 
[   ]perl-file-mmagic-xs-0.09008-r5.apk2025-06-30 09:24 32K 
[   ]perl-file-find-object-doc-0.3.9-r0.apk2025-07-11 16:50 13K 
[   ]perl-file-find-object-0.3.9-r0.apk2025-07-11 16:50 8.9K 
[   ]perl-file-changenotify-doc-0.31-r0.apk2025-03-20 16:06 14K 
[   ]perl-file-changenotify-0.31-r0.apk2025-03-20 16:06 12K 
[   ]perl-ffi-platypus-type-enum-doc-0.06-r0.apk2024-10-25 20:53 4.9K 
[   ]perl-ffi-platypus-type-enum-0.06-r0.apk2024-10-25 20:53 4.9K 
[   ]perl-ffi-platypus-doc-2.10-r1.apk2025-06-30 09:24 148K 
[   ]perl-ffi-platypus-2.10-r1.apk2025-06-30 09:24 179K 
[   ]perl-ffi-c-doc-0.15-r0.apk2024-10-25 20:53 28K 
[   ]perl-ffi-c-0.15-r0.apk2024-10-25 20:53 20K 
[   ]perl-feed-find-doc-0.13-r0.apk2024-10-25 20:53 3.5K 
[   ]perl-feed-find-0.13-r0.apk2024-10-25 20:53 3.6K 
[   ]perl-extutils-xsbuilder-doc-0.28-r5.apk2024-10-25 20:53 21K 
[   ]perl-extutils-xsbuilder-0.28-r5.apk2024-10-25 20:53 43K 
[   ]perl-extutils-makemaker-7.76-r0.apk2025-08-16 14:46 174K 
[   ]perl-expect-simple-doc-0.04-r0.apk2025-04-20 04:38 4.6K 
[   ]perl-expect-simple-0.04-r0.apk2025-04-20 04:38 5.2K 
[   ]perl-expect-doc-1.38-r0.apk2025-04-19 19:02 20K 
[   ]perl-expect-1.38-r0.apk2025-04-19 19:02 32K 
[   ]perl-ev-hiredis-doc-0.07-r3.apk2025-06-30 09:24 4.0K 
[   ]perl-ev-hiredis-0.07-r3.apk2025-06-30 09:24 13K 
[   ]perl-encode-detect-doc-1.01-r1.apk2025-06-30 09:24 4.6K 
[   ]perl-encode-detect-1.01-r1.apk2025-06-30 09:24 75K 
[   ]perl-email-sender-doc-2.601-r0.apk2025-04-04 08:56 42K 
[   ]perl-email-sender-2.601-r0.apk2025-04-04 08:56 24K 
[   ]perl-email-reply-doc-1.204-r5.apk2024-10-25 20:53 4.5K 
[   ]perl-email-reply-1.204-r5.apk2024-10-25 20:53 5.9K 
[   ]perl-email-mime-attachment-stripper-doc-1.317-r5.apk2024-10-25 20:53 3.6K 
[   ]perl-email-mime-attachment-stripper-1.317-r5.apk2024-10-25 20:53 3.7K 
[   ]perl-email-abstract-doc-3.010-r0.apk2024-10-25 20:53 13K 
[   ]perl-email-abstract-3.010-r0.apk2024-10-25 20:53 7.4K 
[   ]perl-dns-unbound-mojo-0.29-r2.apk2025-06-30 09:24 2.4K 
[   ]perl-dns-unbound-ioasync-0.29-r2.apk2025-06-30 09:24 2.2K 
[   ]perl-dns-unbound-doc-0.29-r2.apk2025-06-30 09:24 15K 
[   ]perl-dns-unbound-asyncquery-promisexs-0.29-r2.apk2025-06-30 09:24 1.7K 
[   ]perl-dns-unbound-anyevent-0.29-r2.apk2025-06-30 09:24 2.1K 
[   ]perl-dns-unbound-0.29-r2.apk2025-06-30 09:24 23K 
[   ]perl-digest-crc-doc-0.24-r2.apk2025-06-30 09:24 3.0K 
[   ]perl-digest-crc-0.24-r2.apk2025-06-30 09:24 9.6K 
[   ]perl-digest-bcrypt-doc-1.212-r1.apk2024-10-25 20:53 4.9K 
[   ]perl-digest-bcrypt-1.212-r1.apk2024-10-25 20:53 5.3K 
[   ]perl-devel-trace-doc-0.12-r0.apk2025-07-06 19:18 3.2K 
[   ]perl-devel-trace-0.12-r0.apk2025-07-06 19:18 3.1K 
[   ]perl-devel-stacktrace-withlexicals-doc-2.01-r0.apk2025-04-26 10:22 3.2K 
[   ]perl-devel-stacktrace-withlexicals-2.01-r0.apk2025-04-26 10:22 3.4K 
[   ]perl-devel-repl-doc-1.003029-r0.apk2025-04-24 14:50 60K 
[   ]perl-devel-repl-1.003029-r0.apk2025-04-24 14:50 28K 
[   ]perl-devel-refcount-doc-0.10-r2.apk2025-06-30 09:24 4.0K 
[   ]perl-devel-refcount-0.10-r2.apk2025-06-30 09:24 6.2K 
[   ]perl-devel-nytprof-doc-6.14-r1.apk2025-06-30 09:24 50K 
[   ]perl-devel-nytprof-6.14-r1.apk2025-06-30 09:24 400K 
[   ]perl-devel-leak-doc-0.03-r14.apk2025-06-30 09:24 3.1K 
[   ]perl-devel-leak-0.03-r14.apk2025-06-30 09:24 7.2K 
[   ]perl-devel-findperl-doc-0.016-r0.apk2025-06-08 16:43 3.6K 
[   ]perl-devel-findperl-0.016-r0.apk2025-06-08 16:43 4.5K 
[   ]perl-devel-confess-doc-0.009004-r0.apk2024-10-25 20:53 6.4K 
[   ]perl-devel-confess-0.009004-r0.apk2024-10-25 20:53 11K 
[   ]perl-dbix-lite-doc-0.36-r0.apk2024-12-30 06:38 17K 
[   ]perl-dbix-lite-0.36-r0.apk2024-12-30 06:38 18K 
[   ]perl-dbix-introspector-doc-0.001005-r4.apk2024-10-25 20:53 8.2K 
[   ]perl-dbix-introspector-0.001005-r4.apk2024-10-25 20:53 7.8K 
[   ]perl-dbix-datasource-doc-0.02-r5.apk2024-10-25 20:53 7.3K 
[   ]perl-dbix-datasource-0.02-r5.apk2024-10-25 20:53 4.0K 
[   ]perl-dbix-connector-doc-0.60-r0.apk2024-12-30 06:38 22K 
[   ]perl-dbix-connector-0.60-r0.apk2024-12-30 06:38 14K 
[   ]perl-dbix-class-schema-loader-doc-0.07053-r0.apk2025-06-09 16:28 77K 
[   ]perl-dbix-class-schema-loader-0.07053-r0.apk2025-06-09 16:28 97K 
[   ]perl-dbix-class-helpers-doc-2.037000-r0.apk2024-11-17 04:09 121K 
[   ]perl-dbix-class-helpers-2.037000-r0.apk2024-11-17 04:09 47K 
[   ]perl-dbix-class-doc-0.082844-r0.apk2025-01-16 17:28 420K 
[   ]perl-dbix-class-cursor-cached-doc-1.001004-r0.apk2025-06-09 16:28 2.8K 
[   ]perl-dbix-class-cursor-cached-1.001004-r0.apk2025-06-09 16:28 3.0K 
[   ]perl-dbix-class-candy-doc-0.005004-r0.apk2024-10-30 06:01 9.2K 
[   ]perl-dbix-class-candy-0.005004-r0.apk2024-10-30 06:01 7.6K 
[   ]perl-dbix-class-0.082844-r0.apk2025-01-16 17:28 355K 
[   ]perl-dbicx-sugar-doc-0.0200-r5.apk2024-10-25 20:53 5.1K 
[   ]perl-dbicx-sugar-0.0200-r5.apk2024-10-25 20:53 5.6K 
[   ]perl-datetime-timezone-catalog-extend-doc-0.3.3-r0.apk2024-10-25 20:53 15K 
[   ]perl-datetime-timezone-catalog-extend-0.3.3-r0.apk2024-10-25 20:53 12K 
[   ]perl-datetime-timezone-alias-doc-0.06-r0.apk2024-10-25 20:53 7.4K 
[   ]perl-datetime-timezone-alias-0.06-r0.apk2024-10-25 20:53 2.3K 
[   ]perl-datetime-set-doc-0.3900-r0.apk2025-07-21 08:48 18K 
[   ]perl-datetime-set-0.3900-r0.apk2025-07-21 08:48 20K 
[   ]perl-datetime-format-rfc3339-doc-1.10.0-r0.apk2025-01-05 15:08 3.9K 
[   ]perl-datetime-format-rfc3339-1.10.0-r0.apk2025-01-05 15:08 4.1K 
[   ]perl-datetime-format-flexible-doc-0.37-r0.apk2024-12-31 13:29 12K 
[   ]perl-datetime-format-flexible-0.37-r0.apk2024-12-31 13:29 18K 
[   ]perl-datetime-format-atom-doc-1.8.0-r0.apk2025-01-05 15:08 3.5K 
[   ]perl-datetime-format-atom-1.8.0-r0.apk2025-01-05 15:08 2.9K 
[   ]perl-datetime-astro-doc-1.04-r0.apk2025-07-21 08:48 5.8K 
[   ]perl-datetime-astro-1.04-r0.apk2025-07-21 08:48 36K 
[   ]perl-date-range-doc-1.41-r0.apk2025-07-08 16:55 3.8K 
[   ]perl-date-range-1.41-r0.apk2025-07-08 16:55 3.5K 
[   ]perl-database-async-engine-postgresql-doc-1.005-r0.apk2024-10-25 20:53 9.1K 
[   ]perl-database-async-engine-postgresql-1.005-r0.apk2024-10-25 20:53 14K 
[   ]perl-database-async-doc-0.019-r0.apk2024-10-25 20:53 29K 
[   ]perl-database-async-0.019-r0.apk2024-10-25 20:53 23K 
[   ]perl-data-visitor-doc-0.32-r0.apk2025-03-19 14:53 8.0K 
[   ]perl-data-visitor-0.32-r0.apk2025-03-19 14:53 9.5K 
[   ]perl-data-validate-ip-doc-0.31-r1.apk2024-10-25 20:53 5.6K 
[   ]perl-data-validate-ip-0.31-r1.apk2024-10-25 20:53 8.6K 
[   ]perl-data-validate-domain-doc-0.15-r0.apk2024-10-25 20:53 5.2K 
[   ]perl-data-validate-domain-0.15-r0.apk2024-10-25 20:53 5.6K 
[   ]perl-data-section-doc-0.200008-r0.apk2025-07-07 17:03 5.4K 
[   ]perl-data-section-0.200008-r0.apk2025-07-07 17:03 6.2K 
[   ]perl-data-dump-streamer-doc-2.42-r1.apk2025-06-30 09:24 17K 
[   ]perl-data-dump-streamer-2.42-r1.apk2025-06-30 09:24 50K 
[   ]perl-data-clone-doc-0.006-r1.apk2025-06-30 09:24 4.3K 
[   ]perl-data-clone-0.006-r1.apk2025-06-30 09:24 10K 
[   ]perl-data-checks-doc-0.10-r1.apk2025-06-30 09:24 8.0K 
[   ]perl-data-checks-0.10-r1.apk2025-06-30 09:24 24K 
[   ]perl-data-binary-doc-0.01-r0.apk2025-07-09 21:13 2.8K 
[   ]perl-data-binary-0.01-r0.apk2025-07-09 21:13 2.5K 
[   ]perl-dancer2-doc-1.1.2-r0.apk2024-12-28 04:55 301K 
[   ]perl-dancer2-1.1.2-r0.apk2024-12-28 04:55 163K 
[   ]perl-dancer-session-cookie-doc-0.30-r2.apk2024-10-25 20:53 3.9K 
[   ]perl-dancer-session-cookie-0.30-r2.apk2024-10-25 20:53 5.2K 
[   ]perl-dancer-plugin-passphrase-doc-2.0.1-r4.apk2024-10-25 20:53 8.2K 
[   ]perl-dancer-plugin-passphrase-2.0.1-r4.apk2024-10-25 20:53 9.4K 
[   ]perl-dancer-plugin-dbic-doc-0.2104-r5.apk2024-10-25 20:53 5.1K 
[   ]perl-dancer-plugin-dbic-0.2104-r5.apk2024-10-25 20:53 4.7K 
[   ]perl-dancer-plugin-auth-extensible-doc-1.00-r5.apk2024-10-25 20:53 15K 
[   ]perl-dancer-plugin-auth-extensible-1.00-r5.apk2024-10-25 20:53 15K 
[   ]perl-daemon-control-doc-0.001010-r2.apk2024-10-25 20:53 8.0K 
[   ]perl-daemon-control-0.001010-r2.apk2024-10-25 20:53 12K 
[   ]perl-css-object-doc-0.2.0-r0.apk2024-10-25 20:53 32K 
[   ]perl-css-object-0.2.0-r0.apk2024-10-25 20:53 22K 
[   ]perl-css-inliner-doc-4027-r0.apk2025-06-16 11:51 9.2K 
[   ]perl-css-inliner-4027-r0.apk2025-06-16 11:51 16K 
[   ]perl-crypt-saltedhash-doc-0.09-r5.apk2024-10-25 20:53 6.1K 
[   ]perl-crypt-saltedhash-0.09-r5.apk2024-10-25 20:53 6.7K 
[   ]perl-crypt-random-seed-doc-0.03-r0.apk2024-10-25 20:53 8.5K 
[   ]perl-crypt-random-seed-0.03-r0.apk2024-10-25 20:53 11K 
[   ]perl-crypt-blowfish-doc-2.14-r1.apk2025-06-30 09:24 3.8K 
[   ]perl-crypt-blowfish-2.14-r1.apk2025-06-30 09:24 13K 
[   ]perl-cpansa-db-doc-20250807.001-r0.apk2025-09-01 13:16 5.3K 
[   ]perl-cpansa-db-20250807.001-r0.apk2025-09-01 13:16 1.3M 
[   ]perl-cpan-changes-doc-0.500005-r0.apk2025-08-16 14:46 18K 
[   ]perl-cpan-changes-0.500005-r0.apk2025-08-16 14:46 13K 
[   ]perl-cpan-audit-doc-20250829.001-r0.apk2025-09-06 17:18 12K 
[   ]perl-cpan-audit-20250829.001-r0.apk2025-09-06 17:18 13K 
[   ]perl-context-preserve-doc-0.03-r4.apk2024-10-25 20:53 4.0K 
[   ]perl-context-preserve-0.03-r4.apk2024-10-25 20:53 3.6K 
[   ]perl-constant-generate-doc-0.17-r5.apk2024-10-25 20:53 6.8K 
[   ]perl-constant-generate-0.17-r5.apk2024-10-25 20:53 8.5K 
[   ]perl-constant-defer-doc-6-r5.apk2024-10-25 20:53 6.7K 
[   ]perl-constant-defer-6-r5.apk2024-10-25 20:53 7.1K 
[   ]perl-conf-libconfig-doc-1.0.3-r2.apk2025-06-30 09:24 5.2K 
[   ]perl-conf-libconfig-1.0.3-r2.apk2025-06-30 09:24 24K 
[   ]perl-color-rgb-util-doc-0.609-r0.apk2025-03-19 13:45 7.1K 
[   ]perl-color-rgb-util-0.609-r0.apk2025-03-19 13:45 9.2K 
[   ]perl-color-ansi-util-doc-0.165-r0.apk2024-10-25 20:53 4.9K 
[   ]perl-color-ansi-util-0.165-r0.apk2024-10-25 20:53 7.0K 
[   ]perl-clipboard-doc-0.32-r0.apk2025-05-18 15:45 27K 
[   ]perl-clipboard-0.32-r0.apk2025-05-18 15:45 10K 
[   ]perl-cli-osprey-doc-0.08-r0.apk2024-12-28 04:55 12K 
[   ]perl-cli-osprey-0.08-r0.apk2024-12-28 04:55 12K 
[   ]perl-class-unload-doc-0.11-r0.apk2025-06-05 23:38 2.8K 
[   ]perl-class-unload-0.11-r0.apk2025-06-05 23:38 2.3K 
[   ]perl-class-inner-doc-0.200001-r5.apk2024-10-25 20:53 3.9K 
[   ]perl-class-inner-0.200001-r5.apk2024-10-25 20:53 3.7K 
[   ]perl-class-c3-doc-0.35-r1.apk2024-10-25 20:53 9.0K 
[   ]perl-class-c3-componentised-doc-1.001002-r2.apk2024-10-25 20:53 5.1K 
[   ]perl-class-c3-componentised-1.001002-r2.apk2024-10-25 20:53 5.3K 
[   ]perl-class-c3-adopt-next-doc-0.14-r0.apk2025-03-14 17:36 4.4K 
[   ]perl-class-c3-adopt-next-0.14-r0.apk2025-03-14 17:36 4.8K 
[   ]perl-class-c3-0.35-r1.apk2024-10-25 20:53 9.2K 
[   ]perl-class-accessor-grouped-doc-0.10014-r2.apk2024-10-25 20:53 7.2K 
[   ]perl-class-accessor-grouped-0.10014-r2.apk2024-10-25 20:53 12K 
[   ]perl-check-unitcheck-doc-0.13-r2.apk2025-06-30 09:24 3.4K 
[   ]perl-check-unitcheck-0.13-r2.apk2025-06-30 09:24 6.2K 
[   ]perl-cgi-struct-doc-1.21-r0.apk2025-03-14 17:36 6.5K 
[   ]perl-cgi-struct-1.21-r0.apk2025-03-14 17:36 7.6K 
[   ]perl-cgi-simple-doc-1.282-r0.apk2025-08-30 04:20 42K 
[   ]perl-cgi-simple-1.282-r0.apk2025-08-30 04:20 55K 
[   ]perl-cgi-expand-doc-2.05-r4.apk2024-10-25 20:53 5.9K 
[   ]perl-cgi-expand-2.05-r4.apk2024-10-25 20:53 6.6K 
[   ]perl-catalystx-simplelogin-doc-0.21-r0.apk2025-05-07 08:00 24K 
[   ]perl-catalystx-simplelogin-0.21-r0.apk2025-05-07 08:00 10K 
[   ]perl-catalystx-repl-doc-0.04-r0.apk2025-04-27 15:07 3.4K 
[   ]perl-catalystx-repl-0.04-r0.apk2025-04-27 15:07 3.0K 
[   ]perl-catalystx-profile-doc-0.02-r0.apk2025-06-15 04:51 4.3K 
[   ]perl-catalystx-profile-0.02-r0.apk2025-06-15 04:51 2.8K 
[   ]perl-catalystx-leakchecker-doc-0.06-r0.apk2025-06-15 04:51 3.3K 
[   ]perl-catalystx-leakchecker-0.06-r0.apk2025-06-15 04:51 3.1K 
[   ]perl-catalystx-injectcomponent-doc-0.025-r0.apk2025-05-04 02:50 3.3K 
[   ]perl-catalystx-injectcomponent-0.025-r0.apk2025-05-04 02:50 3.2K 
[   ]perl-catalystx-component-traits-doc-0.19-r0.apk2025-05-07 08:00 3.7K 
[   ]perl-catalystx-component-traits-0.19-r0.apk2025-05-07 08:00 4.1K 
[   ]perl-catalyst-view-tt-doc-0.46-r0.apk2025-04-12 15:52 12K 
[   ]perl-catalyst-view-tt-0.46-r0.apk2025-04-12 15:52 13K 
[   ]perl-catalyst-view-email-doc-0.36-r0.apk2025-04-04 08:56 10K 
[   ]perl-catalyst-view-email-0.36-r0.apk2025-04-04 08:56 8.8K 
[   ]perl-catalyst-runtime-doc-5.90132-r0.apk2025-03-19 13:45 216K 
[   ]perl-catalyst-runtime-5.90132-r0.apk2025-03-19 13:45 149K 
[   ]perl-catalyst-plugin-static-simple-doc-0.37-r0.apk2025-03-20 16:06 7.2K 
[   ]perl-catalyst-plugin-static-simple-0.37-r0.apk2025-03-20 16:06 8.4K 
[   ]perl-catalyst-plugin-stacktrace-doc-0.12-r0.apk2025-06-14 02:47 3.6K 
[   ]perl-catalyst-plugin-stacktrace-0.12-r0.apk2025-06-14 02:47 4.4K 
[   ]perl-catalyst-plugin-session-store-file-doc-0.18-r0.apk2025-06-14 02:47 3.8K 
[   ]perl-catalyst-plugin-session-store-file-0.18-r0.apk2025-06-14 02:47 3.4K 
[   ]perl-catalyst-plugin-session-store-delegate-doc-0.06-r0.apk2025-06-13 18:18 4.3K 
[   ]perl-catalyst-plugin-session-store-delegate-0.06-r0.apk2025-06-13 18:18 4.2K 
[   ]perl-catalyst-plugin-session-store-dbic-doc-0.14-r0.apk2025-06-13 18:18 6.2K 
[   ]perl-catalyst-plugin-session-store-dbic-0.14-r0.apk2025-06-13 18:18 5.6K 
[   ]perl-catalyst-plugin-session-state-cookie-doc-0.18-r0.apk2025-04-20 04:33 4.6K 
[   ]perl-catalyst-plugin-session-state-cookie-0.18-r0.apk2025-04-20 04:33 4.6K 
[   ]perl-catalyst-plugin-session-doc-0.43-r0.apk2025-04-04 11:57 25K 
[   ]perl-catalyst-plugin-session-0.43-r0.apk2025-04-04 11:57 14K 
[   ]perl-catalyst-plugin-i18n-doc-0.10-r0.apk2025-04-04 08:56 13K 
[   ]perl-catalyst-plugin-i18n-0.10-r0.apk2025-04-04 08:56 3.9K 
[   ]perl-catalyst-plugin-configloader-doc-0.35-r0.apk2025-03-20 16:06 10K 
[   ]perl-catalyst-plugin-configloader-0.35-r0.apk2025-03-20 16:06 5.1K 
[   ]perl-catalyst-plugin-authentication-doc-0.10024-r0.apk2025-03-29 08:34 56K 
[   ]perl-catalyst-plugin-authentication-0.10024-r0.apk2025-03-29 08:34 32K 
[   ]perl-catalyst-model-dbic-schema-doc-0.66-r0.apk2025-06-11 16:36 18K 
[   ]perl-catalyst-model-dbic-schema-0.66-r0.apk2025-06-11 16:36 19K 
[   ]perl-catalyst-model-adaptor-doc-0.10-r0.apk2025-04-13 14:57 12K 
[   ]perl-catalyst-model-adaptor-0.10-r0.apk2025-04-13 14:57 6.2K 
[   ]perl-catalyst-manual-doc-5.9013-r0.apk2025-04-04 05:24 375K 
[   ]perl-catalyst-manual-5.9013-r0.apk2025-04-04 05:24 2.4K 
[   ]perl-catalyst-devel-doc-1.42-r0.apk2025-03-20 16:06 11K 
[   ]perl-catalyst-devel-1.42-r0.apk2025-03-20 16:06 54K 
[   ]perl-catalyst-controller-actionrole-doc-0.17-r0.apk2025-04-04 05:42 4.2K 
[   ]perl-catalyst-controller-actionrole-0.17-r0.apk2025-04-04 05:42 4.7K 
[   ]perl-catalyst-component-instancepercontext-doc-0.001001-r1.apk2025-06-19 10:55 3.0K 
[   ]perl-catalyst-component-instancepercontext-0.001001-r1.apk2025-06-19 10:55 2.5K 
[   ]perl-catalyst-authentication-store-dbix-class-doc-0.1506-r0.apk2025-06-11 16:36 14K 
[   ]perl-catalyst-authentication-store-dbix-class-0.1506-r0.apk2025-06-11 16:36 13K 
[   ]perl-catalyst-authentication-credential-http-doc-1.018-r0.apk2025-04-04 05:40 6.5K 
[   ]perl-catalyst-authentication-credential-http-1.018-r0.apk2025-04-04 05:40 8.3K 
[   ]perl-catalyst-actionrole-acl-doc-0.07-r0.apk2025-05-07 08:00 5.5K 
[   ]perl-catalyst-actionrole-acl-0.07-r0.apk2025-05-07 08:00 4.1K 
[   ]perl-catalyst-action-rest-doc-1.21-r0.apk2025-03-31 14:11 27K 
[   ]perl-catalyst-action-rest-1.21-r0.apk2025-03-31 14:11 25K 
[   ]perl-catalyst-action-renderview-doc-0.17-r0.apk2025-03-19 14:53 3.8K 
[   ]perl-catalyst-action-renderview-0.17-r0.apk2025-03-19 14:53 3.5K 
[   ]perl-carp-repl-doc-0.18-r0.apk2025-04-26 17:12 5.6K 
[   ]perl-carp-repl-0.18-r0.apk2025-04-26 17:12 5.7K 
[   ]perl-carp-assert-more-doc-2.9.0-r0.apk2025-04-13 14:57 7.5K 
[   ]perl-carp-assert-more-2.9.0-r0.apk2025-04-13 14:57 8.7K 
[   ]perl-cairo-gobject-doc-1.005-r5.apk2025-06-30 09:24 2.7K 
[   ]perl-cairo-gobject-1.005-r5.apk2025-06-30 09:24 6.6K 
[   ]perl-cairo-doc-1.109-r5.apk2025-06-30 09:24 14K 
[   ]perl-cairo-1.109-r5.apk2025-06-30 09:24 81K 
[   ]perl-cache-lru-doc-0.04-r0.apk2024-10-25 20:53 3.0K 
[   ]perl-cache-lru-0.04-r0.apk2024-10-25 20:53 2.7K 
[   ]perl-bytes-random-secure-doc-0.29-r0.apk2024-10-25 20:53 12K 
[   ]perl-bytes-random-secure-0.29-r0.apk2024-10-25 20:53 14K 
[   ]perl-bsd-resource-doc-1.2911-r11.apk2025-06-30 09:24 7.7K 
[   ]perl-bsd-resource-1.2911-r11.apk2025-06-30 09:24 20K 
[   ]perl-bind-config-parser-doc-0.01-r5.apk2024-10-25 20:53 3.4K 
[   ]perl-bind-config-parser-0.01-r5.apk2024-10-25 20:53 3.6K 
[   ]perl-bareword-filehandles-doc-0.007-r1.apk2025-06-30 09:24 3.0K 
[   ]perl-bareword-filehandles-0.007-r1.apk2025-06-30 09:24 6.1K 
[   ]perl-barcode-zbar-doc-0.10-r4.apk2025-06-30 09:24 12K 
[   ]perl-barcode-zbar-0.10-r4.apk2025-06-30 09:24 29K 
[   ]perl-badger-doc-0.16-r0.apk2025-06-15 14:55 260K 
[   ]perl-badger-0.16-r0.apk2025-06-15 14:55 253K 
[   ]perl-b-utils-doc-0.27-r1.apk2025-06-30 09:24 9.3K 
[   ]perl-b-utils-0.27-r1.apk2025-06-30 09:24 20K 
[   ]perl-b-hooks-op-check-doc-0.22-r1.apk2025-06-30 09:24 3.5K 
[   ]perl-b-hooks-op-check-0.22-r1.apk2025-06-30 09:24 6.8K 
[   ]perl-autobox-doc-3.0.2-r1.apk2025-06-30 09:24 8.6K 
[   ]perl-autobox-3.0.2-r1.apk2025-06-30 09:24 20K 
[   ]perl-astro-telescope-doc-0.71-r0.apk2025-07-21 08:48 4.7K 
[   ]perl-astro-telescope-0.71-r0.apk2025-07-21 08:48 48K 
[   ]perl-astro-satpass-doc-0.134-r0.apk2025-10-03 08:45 133K 
[   ]perl-astro-satpass-0.134-r0.apk2025-10-03 08:45 218K 
[   ]perl-astro-pal-doc-1.09-r0.apk2025-07-21 08:48 7.1K 
[   ]perl-astro-pal-1.09-r0.apk2025-07-21 08:48 224K 
[   ]perl-astro-montenbruck-doc-1.26-r0.apk2025-07-21 08:48 59K 
[   ]perl-astro-montenbruck-1.26-r0.apk2025-07-21 08:48 53K 
[   ]perl-astro-doc-0.78-r0.apk2025-07-21 08:48 14K 
[   ]perl-astro-coords-doc-0.23-r0.apk2025-10-08 22:26 40K 
[   ]perl-astro-coords-0.23-r0.apk2025-10-08 22:26 52K 
[   ]perl-astro-0.78-r0.apk2025-07-21 08:48 32K 
[   ]perl-asa-doc-1.04-r0.apk2025-06-11 16:35 4.7K 
[   ]perl-asa-1.04-r0.apk2025-06-11 16:35 4.1K 
[   ]perl-array-diff-doc-0.09-r0.apk2025-07-09 21:13 3.6K 
[   ]perl-array-diff-0.09-r0.apk2025-07-09 21:13 3.1K 
[   ]perl-archive-extract-doc-0.88-r1.apk2024-10-25 20:53 6.6K 
[   ]perl-archive-extract-0.88-r1.apk2024-10-25 20:53 15K 
[   ]perl-archive-any-lite-doc-0.11-r0.apk2025-07-11 08:48 3.4K 
[   ]perl-archive-any-lite-0.11-r0.apk2025-07-11 08:48 3.9K 
[   ]perl-app-find2perl-doc-1.005-r0.apk2025-07-21 08:48 5.6K 
[   ]perl-app-find2perl-1.005-r0.apk2025-07-21 08:48 8.3K 
[   ]perl-app-a2p-doc-1.013-r0.apk2025-07-21 08:48 5.2K 
[   ]perl-app-a2p-1.013-r0.apk2025-07-21 08:48 48K 
[   ]perl-anyevent-riperedis-doc-0.48-r0.apk2024-10-25 20:53 10K 
[   ]perl-anyevent-riperedis-0.48-r0.apk2024-10-25 20:53 12K 
[   ]perl-anyevent-future-doc-0.05-r0.apk2024-10-25 20:53 5.2K 
[   ]perl-anyevent-future-0.05-r0.apk2024-10-25 20:53 4.9K 
[   ]perl-anyevent-dns-etchosts-doc-0.0105-r0.apk2024-10-25 20:53 3.7K 
[   ]perl-anyevent-dns-etchosts-0.0105-r0.apk2024-10-25 20:53 4.8K 
[   ]perl-alien-libgumbo-doc-0.05-r1.apk2025-06-30 09:24 4.6K 
[   ]perl-alien-libgumbo-0.05-r1.apk2025-06-30 09:24 577K 
[   ]perl-alien-base-modulebuild-doc-1.17-r0.apk2025-06-08 16:43 53K 
[   ]perl-alien-base-modulebuild-1.17-r0.apk2025-06-08 16:43 21K 
[   ]perl-aliased-doc-0.34-r4.apk2024-10-25 20:53 5.4K 
[   ]perl-aliased-0.34-r4.apk2024-10-25 20:53 5.4K 
[   ]perl-algorithm-permute-doc-0.17-r1.apk2025-06-30 09:24 4.9K 
[   ]perl-algorithm-permute-0.17-r1.apk2025-06-30 09:24 13K 
[   ]perl-algorithm-evolutionary-doc-0.82.1-r0.apk2024-10-25 20:53 147K 
[   ]perl-algorithm-evolutionary-0.82.1-r0.apk2024-10-25 20:53 78K 
[   ]perl-algorithm-cron-doc-0.10-r4.apk2024-10-25 20:53 4.3K 
[   ]perl-algorithm-cron-0.10-r4.apk2024-10-25 20:53 5.8K 
[   ]perl-algorithm-c3-doc-0.11-r1.apk2024-10-25 20:53 4.8K 
[   ]perl-algorithm-c3-0.11-r1.apk2024-10-25 20:53 5.4K 
[   ]perl-algorithm-backoff-doc-0.010-r0.apk2024-10-25 20:53 29K 
[   ]perl-algorithm-backoff-0.010-r0.apk2024-10-25 20:53 9.3K 
[   ]perl-adapter-async-doc-0.019-r0.apk2024-10-25 20:53 17K 
[   ]perl-adapter-async-0.019-r0.apk2024-10-25 20:53 7.9K 
[   ]percona-toolkit-doc-3.5.4-r1.apk2024-10-25 20:53 297K 
[   ]percona-toolkit-3.5.4-r1.apk2024-10-25 20:53 1.8M 
[   ]pegasus-frontend-doc-16_alpha-r0.apk2024-10-25 20:53 16K 
[   ]pegasus-frontend-16_alpha-r0.apk2024-10-25 20:53 1.2M 
[   ]peg-doc-0.1.18-r1.apk2024-10-25 20:53 13K 
[   ]peg-0.1.18-r1.apk2024-10-25 20:53 42K 
[   ]peervpn-openrc-0.044-r5.apk2024-10-25 20:53 1.8K 
[   ]peervpn-0.044-r5.apk2024-10-25 20:53 40K 
[   ]pebble-le-doc-0.3.0-r2.apk2024-12-14 21:36 3.4K 
[   ]pebble-le-dev-0.3.0-r2.apk2024-12-14 21:36 44K 
[   ]pebble-le-0.3.0-r2.apk2024-12-14 21:36 66K 
[   ]pdfcrack-0.20-r0.apk2024-10-25 20:53 25K 
[   ]pdf2svg-0.2.4-r0.apk2025-10-03 08:45 4.8K 
[   ]pdal-python-plugins-1.6.5-r0.apk2025-06-21 21:30 247K 
[   ]pcl-libs-1.14.1-r0.apk2025-02-18 22:22 1.4M 
[   ]pcl-dev-1.14.1-r0.apk2025-02-18 22:22 387K 
[   ]pcl-1.14.1-r0.apk2025-02-18 22:22 525K 
[   ]pax-doc-20240817-r0.apk2025-10-03 08:45 27K 
[   ]pax-20240817-r0.apk2025-10-03 08:45 50K 
[   ]pathvector-6.3.2-r17.apk2025-10-08 22:26 3.8M 
[   ]pasystray-doc-0.8.2-r0.apk2024-10-25 20:53 3.1K 
[   ]pasystray-0.8.2-r0.apk2024-10-25 20:53 47K 
[   ]pash-2.3.0-r2.apk2024-10-25 20:53 4.0K 
[   ]parse-changelog-0.6.12-r0.apk2025-05-18 22:19 556K 
[   ]parcellite-lang-1.2.5-r0.apk2024-10-25 20:53 49K 
[   ]parcellite-doc-1.2.5-r0.apk2024-10-25 20:53 25K 
[   ]parcellite-1.2.5-r0.apk2024-10-25 20:53 227K 
[   ]par2cmdline-turbo-doc-1.3.0-r0.apk2025-05-08 12:42 5.6K 
[   ]par2cmdline-turbo-1.3.0-r0.apk2025-05-08 12:42 168K 
[   ]par-doc-1.53.0-r1.apk2024-10-25 20:53 30K 
[   ]par-1.53.0-r1.apk2024-10-25 20:53 14K 
[   ]paprefs-lang-1.2-r2.apk2024-11-22 22:15 38K 
[   ]paprefs-1.2-r2.apk2024-11-22 22:15 31K 
[   ]paperkey-doc-1.6-r2.apk2024-10-25 20:53 4.2K 
[   ]paperkey-1.6-r2.apk2024-10-25 20:53 17K 
[   ]paperde-dev-0.3.0-r2.apk2025-08-21 08:05 4.7K 
[   ]paperde-0.3.0-r2.apk2025-08-21 08:05 630K 
[   ]pantalaimon-ui-0.10.5-r4.apk2024-10-25 20:53 1.5K 
[   ]pantalaimon-pyc-0.10.5-r4.apk2024-10-25 20:53 83K 
[   ]pantalaimon-doc-0.10.5-r4.apk2024-10-25 20:53 6.1K 
[   ]pantalaimon-0.10.5-r4.apk2024-10-25 20:53 45K 
[   ]pamtester-doc-0.1.2-r4.apk2024-10-25 20:53 2.6K 
[   ]pamtester-0.1.2-r4.apk2024-10-25 20:53 9.2K 
[   ]pam_sqlite3-1.0.2-r2.apk2024-10-25 20:53 8.8K 
[   ]pam-pkcs11-doc-0.6.13-r0.apk2025-06-10 19:03 14K 
[   ]pam-pkcs11-0.6.13-r0.apk2025-06-10 19:03 276K 
[   ]pam-krb5-doc-4.11-r1.apk2024-10-25 20:53 23K 
[   ]pam-krb5-4.11-r1.apk2024-10-25 20:53 23K 
[   ]paged-markdown-3-pdf-zsh-completion-0.1.3-r0.apk2025-05-28 14:24 1.6K 
[   ]paged-markdown-3-pdf-fish-completion-0.1.3-r0.apk2025-05-28 14:24 1.5K 
[   ]paged-markdown-3-pdf-bash-completion-0.1.3-r0.apk2025-05-28 14:24 1.6K 
[   ]paged-markdown-3-pdf-0.1.3-r0.apk2025-05-28 14:24 387K 
[   ]pacparser-doc-1.4.5-r1.apk2024-10-25 20:53 17K 
[   ]pacparser-dev-1.4.5-r1.apk2024-10-25 20:53 3.3K 
[   ]pacparser-1.4.5-r1.apk2024-10-25 20:53 729K 
[   ]packwiz-doc-0_git20250902-r1.apk2025-10-08 22:26 2.0K 
[   ]packwiz-0_git20250902-r1.apk2025-10-08 22:26 4.6M 
[   ]p910nd-openrc-0.97-r2.apk2024-10-25 20:53 1.8K 
[   ]p910nd-doc-0.97-r2.apk2024-10-25 20:53 3.0K 
[   ]p910nd-0.97-r2.apk2024-10-25 20:53 8.8K 
[   ]p0f-doc-3.09b-r3.apk2024-10-25 20:53 25K 
[   ]p0f-3.09b-r3.apk2024-10-25 20:53 78K 
[   ]oxygen-icons-6.1.0-r0.apk2024-10-25 20:53 32M 
[   ]ovpncc-doc-0.1_rc1-r0.apk2024-10-25 20:53 6.3K 
[   ]ovpncc-0.1_rc1-r0.apk2024-10-25 20:53 12K 
[   ]ovos-skill-hello-world-pyc-0.0.4_alpha3-r1.apk2024-10-25 20:53 3.7K 
[   ]ovos-skill-hello-world-0.0.4_alpha3-r1.apk2024-10-25 20:53 45K 
[   ]ovos-phal-pyc-0.2.10-r0.apk2025-07-15 19:31 7.0K 
[   ]ovos-phal-0.2.10-r0.apk2025-07-15 19:31 10K 
[   ]ovos-messagebus-pyc-0.0.10-r0.apk2025-04-08 07:45 6.4K 
[   ]ovos-messagebus-0.0.10-r0.apk2025-04-08 07:45 9.7K 
[   ]ovos-gui-pyc-1.3.3-r0.apk2025-07-15 20:05 38K 
[   ]ovos-gui-1.3.3-r0.apk2025-07-15 20:05 38K 
[   ]ovos-core-pyc-1.3.1-r0.apk2025-05-26 17:46 63K 
[   ]ovos-core-1.3.1-r0.apk2025-05-26 17:46 51K 
[   ]ovos-audio-pyc-1.0.1-r0.apk2025-07-15 20:05 36K 
[   ]ovos-audio-1.0.1-r0.apk2025-07-15 20:05 136K 
[   ]ovn-openrc-24.03.1-r0.apk2024-10-25 20:53 3.9K 
[   ]ovn-doc-24.03.1-r0.apk2024-10-25 20:53 512K 
[   ]ovn-dev-24.03.1-r0.apk2024-10-25 20:53 10M 
[   ]ovn-dbg-24.03.1-r0.apk2024-10-25 20:53 25M 
[   ]ovn-24.03.1-r0.apk2024-10-25 20:53 7.4M 
[   ]ovhcloud-cli-0.5.0-r1.apk2025-10-08 22:26 7.0M 
[   ]ouch-zsh-completion-0.6.1-r0.apk2025-05-28 07:40 2.9K 
[   ]ouch-fish-completion-0.6.1-r0.apk2025-05-28 07:40 2.8K 
[   ]ouch-doc-0.6.1-r0.apk2025-05-28 07:40 3.6K 
[   ]ouch-bash-completion-0.6.1-r0.apk2025-05-28 07:40 2.2K 
[   ]ouch-0.6.1-r0.apk2025-05-28 07:40 1.7M 
[   ]otrs-setup-6.0.48-r2.apk2024-10-25 20:53 107K 
[   ]otrs-openrc-6.0.48-r2.apk2024-10-25 20:53 1.6K 
[   ]otrs-nginx-6.0.48-r2.apk2024-10-25 20:53 1.5K 
[   ]otrs-fastcgi-6.0.48-r2.apk2024-10-25 20:53 1.5K 
[   ]otrs-doc-6.0.48-r2.apk2024-10-25 20:53 795K 
[   ]otrs-dev-6.0.48-r2.apk2024-10-25 20:53 3.9M 
[   ]otrs-bash-completion-6.0.48-r2.apk2024-10-25 20:53 2.1K 
[   ]otrs-apache2-6.0.48-r2.apk2024-10-25 20:53 3.8K 
[   ]otrs-6.0.48-r2.apk2024-10-25 20:53 29M 
[   ]otpclient-doc-4.1.1-r0.apk2025-07-22 22:45 3.4K 
[   ]otpclient-4.1.1-r0.apk2025-07-22 22:45 124K 
[   ]otf-atkinson-hyperlegible-doc-2020.0514-r1.apk2024-10-25 20:53 47K 
[   ]otf-atkinson-hyperlegible-2020.0514-r1.apk2024-10-25 20:53 101K 
[   ]ostui-doc-1.0.4-r0.apk2025-09-11 02:50 28K 
[   ]ostui-1.0.4-r0.apk2025-09-11 02:50 4.7M 
[   ]osmctools-0.9-r0.apk2024-10-25 20:53 125K 
[   ]orage-lang-4.20.1-r0.apk2025-04-08 11:54 1.2M 
[   ]orage-4.20.1-r0.apk2025-04-08 11:54 581K 
[   ]oppa-1.0.1-r0.apk2025-10-06 14:50 563K 
[   ]opmsg-1.84-r1.apk2024-10-25 20:53 294K 
[   ]opkg-utils-doc-0.7.0-r0.apk2024-10-25 20:53 3.4K 
[   ]opkg-utils-0.7.0-r0.apk2024-10-25 20:53 25K 
[   ]opkg-libs-0.7.0-r0.apk2024-10-25 20:53 83K 
[   ]opkg-doc-0.7.0-r0.apk2024-10-25 20:53 7.4K 
[   ]opkg-dev-0.7.0-r0.apk2024-10-25 20:53 122K 
[   ]opkg-0.7.0-r0.apk2024-10-25 20:53 10K 
[   ]openwsman-libs-2.8.1-r1.apk2025-06-30 09:24 330K 
[   ]openwsman-doc-2.8.1-r1.apk2025-06-30 09:24 2.1K 
[   ]openwsman-dev-2.8.1-r1.apk2025-06-30 09:24 55K 
[   ]openwsman-2.8.1-r1.apk2025-06-30 09:24 49K 
[   ]openvpn3-dev-3.8.5-r1.apk2025-02-18 22:22 667K 
[   ]openvpn3-3.8.5-r1.apk2025-02-18 22:22 398K 
[   ]opentelemetry-cpp-exporter-zipkin-1.22.0-r2.apk2025-10-05 20:59 48K 
[   ]opentelemetry-cpp-exporter-otlp-http-1.22.0-r2.apk2025-10-05 20:59 83K 
[   ]opentelemetry-cpp-exporter-otlp-grpc-1.22.0-r2.apk2025-10-05 20:59 55K 
[   ]opentelemetry-cpp-exporter-otlp-common-1.22.0-r2.apk2025-10-05 20:59 49K 
[   ]opentelemetry-cpp-dev-1.22.0-r2.apk2025-10-05 20:59 505K 
[   ]opentelemetry-cpp-1.22.0-r2.apk2025-10-05 20:59 638K 
[   ]openswitcher-proxy-openrc-0.5.0-r4.apk2024-10-25 20:53 1.8K 
[   ]openswitcher-proxy-0.5.0-r4.apk2024-10-25 20:53 9.1K 
[   ]openswitcher-0.5.0-r4.apk2024-10-25 20:53 148K 
[   ]opensm-openrc-3.3.24-r2.apk2024-10-25 20:52 2.6K 
[   ]opensm-doc-3.3.24-r2.apk2024-10-25 20:52 38K 
[   ]opensm-dev-3.3.24-r2.apk2024-10-25 20:52 219K 
[   ]opensm-3.3.24-r2.apk2024-10-25 20:52 470K 
[   ]openslide-tools-3.4.1-r3.apk2024-10-25 20:52 14K 
[   ]openslide-doc-3.4.1-r3.apk2024-10-25 20:52 4.7K 
[   ]openslide-dev-3.4.1-r3.apk2024-10-25 20:52 6.6K 
[   ]openslide-3.4.1-r3.apk2024-10-25 20:52 84K 
[   ]openscap-daemon-pyc-0.1.10-r9.apk2024-10-25 20:52 102K 
[   ]openscap-daemon-doc-0.1.10-r9.apk2024-10-25 20:52 17K 
[   ]openscap-daemon-0.1.10-r9.apk2024-10-25 20:52 60K 
[   ]openrdap-doc-0.9.1-r0.apk2025-06-24 15:50 2.0K 
[   ]openrdap-0.9.1-r0.apk2025-06-24 15:50 3.2M 
[   ]openocd-riscv-udev-rules-0_git20230104-r2.apk2024-10-25 20:52 3.0K 
[   ]openocd-riscv-doc-0_git20230104-r2.apk2024-10-25 20:52 3.0K 
[   ]openocd-riscv-dev-0_git20230104-r2.apk2024-10-25 20:52 3.5K 
[   ]openocd-riscv-0_git20230104-r2.apk2024-10-25 20:52 1.5M 
[   ]openocd-git-udev-rules-0_git20240113-r1.apk2024-10-25 20:52 3.2K 
[   ]openocd-git-doc-0_git20240113-r1.apk2024-10-25 20:52 3.0K 
[   ]openocd-git-dev-0_git20240113-r1.apk2024-10-25 20:52 3.2K 
[   ]openocd-git-dbg-0_git20240113-r1.apk2024-10-25 20:52 4.0M 
[   ]openocd-git-cmd-openocd-0_git20240113-r1.apk2024-10-25 20:52 1.2K 
[   ]openocd-git-0_git20240113-r1.apk2024-10-25 20:52 1.6M 
[   ]openocd-esp32-udev-rules-0_git20250707-r1.apk2025-10-04 12:51 3.2K 
[   ]openocd-esp32-doc-0_git20250707-r1.apk2025-10-04 12:51 3.0K 
[   ]openocd-esp32-dev-0_git20250707-r1.apk2025-10-04 12:51 3.4K 
[   ]openocd-esp32-0_git20250707-r1.apk2025-10-04 12:51 1.9M 
[   ]openjdk25-static-libs-25.0.0_p36-r0.apk2025-10-03 08:45 21M 
[   ]openjdk25-src-25.0.0_p36-r0.apk2025-10-03 08:45 47M 
[   ]openjdk25-jre-headless-25.0.0_p36-r0.apk2025-10-03 08:44 75M 
[   ]openjdk25-jre-25.0.0_p36-r0.apk2025-10-03 08:44 1.2M 
[   ]openjdk25-jmods-25.0.0_p36-r0.apk2025-10-03 08:44 81M 
[   ]openjdk25-jdk-25.0.0_p36-r0.apk2025-10-03 08:44 7.3M 
[   ]openjdk25-doc-25.0.0_p36-r0.apk2025-10-03 08:44 33M 
[   ]openjdk25-demos-25.0.0_p36-r0.apk2025-10-03 08:44 5.3M 
[   ]openjdk25-25.0.0_p36-r0.apk2025-10-03 08:44 1.3K 
[   ]openjdk24-static-libs-24.0.2_p12-r0.apk2025-07-18 15:12 21M 
[   ]openjdk24-src-24.0.2_p12-r0.apk2025-07-18 15:12 48M 
[   ]openjdk24-jre-headless-24.0.2_p12-r0.apk2025-07-18 15:12 74M 
[   ]openjdk24-jre-24.0.2_p12-r0.apk2025-07-18 15:12 1.2M 
[   ]openjdk24-jmods-24.0.2_p12-r0.apk2025-07-18 15:12 80M 
[   ]openjdk24-jdk-24.0.2_p12-r0.apk2025-07-18 15:12 7.1M 
[   ]openjdk24-doc-24.0.2_p12-r0.apk2025-07-18 15:12 32M 
[   ]openjdk24-demos-24.0.2_p12-r0.apk2025-07-18 15:12 5.3M 
[   ]openjdk24-24.0.2_p12-r0.apk2025-07-18 15:12 1.3K 
[   ]openjdk23-static-libs-23.0.2_p7-r1.apk2025-02-18 22:22 20M 
[   ]openjdk23-src-23.0.2_p7-r1.apk2025-02-18 22:22 48M 
[   ]openjdk23-jre-headless-23.0.2_p7-r1.apk2025-02-18 22:22 66M 
[   ]openjdk23-jre-23.0.2_p7-r1.apk2025-02-18 22:22 1.1M 
[   ]openjdk23-jmods-23.0.2_p7-r1.apk2025-02-18 22:22 80M 
[   ]openjdk23-jdk-23.0.2_p7-r1.apk2025-02-18 22:22 6.8M 
[   ]openjdk23-doc-23.0.2_p7-r1.apk2025-02-18 22:22 188K 
[   ]openjdk23-demos-23.0.2_p7-r1.apk2025-02-18 22:22 5.2M 
[   ]openjdk23-23.0.2_p7-r1.apk2025-02-18 22:22 1.2K 
[   ]openjdk22-static-libs-22.0.2_p9-r3.apk2025-02-18 22:22 21M 
[   ]openjdk22-src-22.0.2_p9-r3.apk2025-02-18 22:22 48M 
[   ]openjdk22-jre-headless-22.0.2_p9-r3.apk2025-02-18 22:22 61M 
[   ]openjdk22-jre-22.0.2_p9-r3.apk2025-02-18 22:21 1.1M 
[   ]openjdk22-jmods-22.0.2_p9-r3.apk2025-02-18 22:21 76M 
[   ]openjdk22-jdk-22.0.2_p9-r3.apk2025-02-18 22:21 6.6M 
[   ]openjdk22-doc-22.0.2_p9-r3.apk2025-02-18 22:21 187K 
[   ]openjdk22-demos-22.0.2_p9-r3.apk2025-02-18 22:21 5.2M 
[   ]openjdk22-22.0.2_p9-r3.apk2025-02-18 22:21 1.2K 
[   ]openfpgaloader-0.11.0-r0.apk2024-10-25 20:52 2.0M 
[   ]openfortivpn-doc-1.22.1-r0.apk2024-12-11 21:38 6.0K 
[   ]openfortivpn-1.22.1-r0.apk2024-12-11 21:38 41K 
[   ]openfire-plugins-4.8.1-r1.apk2024-12-03 14:44 72K 
[   ]openfire-openrc-4.8.1-r1.apk2024-12-03 14:44 1.7K 
[   ]openfire-doc-4.8.1-r1.apk2024-12-03 14:44 3.8M 
[   ]openfire-4.8.1-r1.apk2024-12-03 14:44 46M 
[   ]opendht-libs-3.1.11-r0.apk2025-01-27 20:57 584K 
[   ]opendht-doc-3.1.11-r0.apk2025-01-27 20:57 2.8K 
[   ]opendht-dev-3.1.11-r0.apk2025-01-27 20:57 71K 
[   ]opendht-3.1.11-r0.apk2025-01-27 20:57 182K 
[   ]openapi-validator-1.19.2-r0.apk2024-10-25 20:52 9.9M 
[   ]openapi-tui-0.9.4-r1.apk2024-10-25 20:52 4.1M 
[   ]opcr-policy-0.3.0-r8.apk2025-10-08 22:26 8.5M 
[   ]opatch-doc-7.6f-r0.apk2025-10-03 08:44 8.9K 
[   ]opatch-7.6f-r0.apk2025-10-03 08:44 26K 
[   ]onnxruntime-dev-1.23.0-r0.apk2025-10-05 22:09 140K 
[   ]onnxruntime-1.23.0-r0.apk2025-10-05 22:09 12M 
[   ]oniux-doc-0.6.1-r0.apk2025-09-08 15:39 3.0K 
[   ]oniux-0.6.1-r0.apk2025-09-08 15:39 6.1M 
[   ]onionshare-pyc-2.6.3-r0.apk2025-09-06 17:18 240K 
[   ]onionshare-desktop-2.6.3-r0.apk2025-09-06 17:18 1.3M 
[   ]onionshare-2.6.3-r0.apk2025-09-06 17:18 179K 
[   ]olsrd-plugins-0.9.8-r3.apk2024-10-25 20:52 199K 
[   ]olsrd-openrc-0.9.8-r3.apk2024-10-25 20:52 1.6K 
[   ]olsrd-doc-0.9.8-r3.apk2024-10-25 20:52 25K 
[   ]olsrd-0.9.8-r3.apk2024-10-25 20:52 168K 
[   ]olab-0.1.8-r0.apk2024-10-25 20:52 3.6M 
[   ]ol-doc-2.6-r0.apk2025-05-04 02:50 2.3K 
[   ]ol-dev-2.6-r0.apk2025-05-04 02:50 16K 
[   ]ol-2.6-r0.apk2025-05-04 02:50 1.0M 
[   ]oils-for-unix-doc-0.35.0-r0.apk2025-10-03 08:44 6.8K 
[   ]oils-for-unix-binsh-0.35.0-r0.apk2025-10-03 08:44 1.3K 
[   ]oils-for-unix-bash-0.35.0-r0.apk2025-10-03 08:44 1.4K 
[   ]oils-for-unix-0.35.0-r0.apk2025-10-03 08:44 672K 
[   ]oil-doc-0.21.0-r0.apk2024-10-25 20:52 6.7K 
[   ]oil-0.21.0-r0.apk2024-10-25 20:52 1.5M 
[   ]octoprint-pyc-1.10.3-r0.apk2024-12-25 22:04 1.2M 
[   ]octoprint-pisupport-pyc-2023.10.10-r1.apk2024-10-25 20:52 13K 
[   ]octoprint-pisupport-2023.10.10-r1.apk2024-10-25 20:52 31K 
[   ]octoprint-openrc-1.10.3-r0.apk2024-12-25 22:04 1.4K 
[   ]octoprint-firmwarecheck-pyc-2021.10.11-r2.apk2024-10-25 20:52 17K 
[   ]octoprint-firmwarecheck-2021.10.11-r2.apk2024-10-25 20:52 29K 
[   ]octoprint-filecheck-pyc-2024.11.12-r0.apk2025-07-12 15:31 11K 
[   ]octoprint-filecheck-2024.11.12-r0.apk2025-07-12 15:31 28K 
[   ]octoprint-creality2xfix-pyc-0.0.4-r2.apk2024-10-25 20:52 3.1K 
[   ]octoprint-creality2xfix-0.0.4-r2.apk2024-10-25 20:52 4.5K 
[   ]octoprint-1.10.3-r0.apk2024-12-25 22:04 3.0M 
[   ]ocp-index-vim-1.3.6-r0.apk2024-10-25 20:52 2.8K 
[   ]ocp-index-emacs-1.3.6-r0.apk2024-10-25 20:52 6.0K 
[   ]ocp-index-doc-1.3.6-r0.apk2024-10-25 20:52 30K 
[   ]ocp-index-1.3.6-r0.apk2024-10-25 20:52 5.8M 
[   ]ocp-indent-vim-1.8.2-r2.apk2024-10-25 20:52 2.2K 
[   ]ocp-indent-emacs-1.8.2-r2.apk2024-10-25 20:52 3.5K 
[   ]ocp-indent-doc-1.8.2-r2.apk2024-10-25 20:52 17K 
[   ]ocp-indent-1.8.2-r2.apk2024-10-25 20:52 1.2M 
[   ]ocfs2-tools-doc-1.8.7-r4.apk2024-10-25 20:52 69K 
[   ]ocfs2-tools-dev-1.8.7-r4.apk2024-10-25 20:52 45K 
[   ]ocfs2-tools-1.8.7-r4.apk2024-10-25 20:52 1.2M 
[   ]ocamlnet-tcl-4.1.9-r2.apk2024-10-25 20:52 56K 
[   ]ocamlnet-dev-4.1.9-r2.apk2024-10-25 20:52 6.5M 
[   ]ocamlnet-4.1.9-r2.apk2024-10-25 20:52 17M 
[   ]ocaml5-llvm-next-22.0.0_pre20250926-r0.apk2025-10-03 08:44 692K 
[   ]ocaml-zed-dev-3.1.0-r3.apk2024-10-25 20:52 1.2M 
[   ]ocaml-zed-3.1.0-r3.apk2024-10-25 20:52 526K 
[   ]ocaml-yojson-dev-2.1.2-r0.apk2024-10-25 20:52 1.7M 
[   ]ocaml-yojson-2.1.2-r0.apk2024-10-25 20:52 1.0M 
[   ]ocaml-xtmpl-dev-0.19.0-r0.apk2024-10-25 20:52 794K 
[   ]ocaml-xtmpl-0.19.0-r0.apk2024-10-25 20:52 9.5M 
[   ]ocaml-xmlm-dev-1.4.0-r2.apk2024-10-25 20:52 106K 
[   ]ocaml-xmlm-1.4.0-r2.apk2024-10-25 20:52 589K 
[   ]ocaml-xml-light-dev-2.5-r0.apk2024-10-25 20:52 396K 
[   ]ocaml-xml-light-2.5-r0.apk2024-10-25 20:52 220K 
[   ]ocaml-x509-dev-0.16.0-r2.apk2024-10-25 20:52 1.6M 
[   ]ocaml-x509-0.16.0-r2.apk2024-10-25 20:52 845K 
[   ]ocaml-uutf-dev-1.0.3-r2.apk2024-10-25 20:52 60K 
[   ]ocaml-uutf-1.0.3-r2.apk2024-10-25 20:52 659K 
[   ]ocaml-uuseg-tools-14.0.0-r2.apk2024-10-25 20:52 1.4M 
[   ]ocaml-uuseg-dev-14.0.0-r2.apk2024-10-25 20:52 54K 
[   ]ocaml-uuseg-14.0.0-r2.apk2024-10-25 20:52 95K 
[   ]ocaml-uunf-dev-14.0.0-r2.apk2024-10-25 20:52 275K 
[   ]ocaml-uunf-14.0.0-r2.apk2024-10-25 20:52 1.3M 
[   ]ocaml-uuidm-tools-0.9.8-r2.apk2024-10-25 20:52 472K 
[   ]ocaml-uuidm-dev-0.9.8-r2.apk2024-10-25 20:52 26K 
[   ]ocaml-uuidm-0.9.8-r2.apk2024-10-25 20:52 47K 
[   ]ocaml-uucp-dev-14.0.0-r2.apk2024-10-25 20:52 1.3M 
[   ]ocaml-uucp-14.0.0-r2.apk2024-10-25 20:52 5.2M 
[   ]ocaml-uucd-dev-14.0.0-r2.apk2024-10-25 20:52 182K 
[   ]ocaml-uucd-14.0.0-r2.apk2024-10-25 20:52 276K 
[   ]ocaml-utop-dev-2.9.1-r4.apk2024-10-25 20:52 763K 
[   ]ocaml-utop-2.9.1-r4.apk2024-10-25 20:52 349K 
[   ]ocaml-uri-dev-4.2.0-r2.apk2024-10-25 20:52 4.3M 
[   ]ocaml-uri-4.2.0-r2.apk2024-10-25 20:52 1.4M 
[   ]ocaml-tsdl-ttf-dev-0.6-r0.apk2024-10-25 20:52 111K 
[   ]ocaml-tsdl-ttf-0.6-r0.apk2024-10-25 20:52 63K 
[   ]ocaml-tsdl-image-dev-0.6-r0.apk2024-10-25 20:52 80K 
[   ]ocaml-tsdl-image-0.6-r0.apk2024-10-25 20:52 49K 
[   ]ocaml-tsdl-dev-1.0.0-r0.apk2024-10-25 20:52 611K 
[   ]ocaml-tsdl-1.0.0-r0.apk2024-10-25 20:52 913K 
[   ]ocaml-trie-dev-1.0.0-r2.apk2024-10-25 20:52 26K 
[   ]ocaml-trie-1.0.0-r2.apk2024-10-25 20:52 16K 
[   ]ocaml-topkg-dev-1.0.5-r2.apk2024-10-25 20:52 442K 
[   ]ocaml-topkg-1.0.5-r2.apk2024-10-25 20:52 621K 
[   ]ocaml-tophide-1.0.4-r2.apk2024-10-25 20:52 5.4K 
[   ]ocaml-tls-dev-0.15.3-r4.apk2024-10-25 20:52 2.4M 
[   ]ocaml-tls-0.15.3-r4.apk2024-10-25 20:52 1.3M 
[   ]ocaml-tcpip-dev-7.1.2-r3.apk2024-10-25 20:52 2.5M 
[   ]ocaml-tcpip-7.1.2-r3.apk2024-10-25 20:52 1.2M 
[   ]ocaml-stringext-dev-1.6.0-r2.apk2024-10-25 20:52 79K 
[   ]ocaml-stringext-1.6.0-r2.apk2024-10-25 20:52 44K 
[   ]ocaml-stk-dev-0.1.0-r0.apk2024-10-25 20:52 10M 
[   ]ocaml-stk-0.1.0-r0.apk2024-10-25 20:52 6.1M 
[   ]ocaml-stdlib-shims-0.3.0-r2.apk2024-10-25 20:52 4.2K 
[   ]ocaml-ssl-dev-0.7.0-r0.apk2024-10-25 20:52 210K 
[   ]ocaml-ssl-0.7.0-r0.apk2024-10-25 20:52 97K 
[   ]ocaml-sha-dev-1.15.4-r0.apk2024-10-25 20:52 231K 
[   ]ocaml-sha-1.15.4-r0.apk2024-10-25 20:52 74K 
[   ]ocaml-sexplib0-dev-0.16.0-r0.apk2024-10-25 20:52 344K 
[   ]ocaml-sexplib0-0.16.0-r0.apk2024-10-25 20:52 173K 
[   ]ocaml-sexplib-dev-0.16.0-r0.apk2024-10-25 20:52 848K 
[   ]ocaml-sexplib-0.16.0-r0.apk2024-10-25 20:52 497K 
[   ]ocaml-seq-dev-0.3.1-r2.apk2024-10-25 20:52 21K 
[   ]ocaml-seq-0.3.1-r2.apk2024-10-25 20:52 15K 
[   ]ocaml-sedlex-dev-3.2-r0.apk2024-10-25 20:52 1.5M 
[   ]ocaml-sedlex-3.2-r0.apk2024-10-25 20:52 4.4M 
[   ]ocaml-rresult-dev-0.7.0-r2.apk2024-10-25 20:52 37K 
[   ]ocaml-rresult-0.7.0-r2.apk2024-10-25 20:52 41K 
[   ]ocaml-result-dev-1.5-r2.apk2024-10-25 20:52 8.0K 
[   ]ocaml-result-1.5-r2.apk2024-10-25 20:52 9.4K 
[   ]ocaml-reason-dev-3.8.2-r1.apk2024-10-25 20:52 29M 
[   ]ocaml-reason-3.8.2-r1.apk2024-10-25 20:52 15M 
[   ]ocaml-react-dev-1.2.2-r2.apk2024-10-25 20:52 211K 
[   ]ocaml-react-1.2.2-r2.apk2024-10-25 20:52 288K 
[   ]ocaml-re-dev-1.11.0-r1.apk2024-10-25 20:52 1.0M 
[   ]ocaml-re-1.11.0-r1.apk2024-10-25 20:52 545K 
[   ]ocaml-randomconv-dev-0.1.3-r2.apk2024-10-25 20:52 18K 
[   ]ocaml-randomconv-0.1.3-r2.apk2024-10-25 20:52 14K 
[   ]ocaml-qtest-dev-2.11.2-r3.apk2024-10-25 20:52 3.4K 
[   ]ocaml-qtest-2.11.2-r3.apk2024-10-25 20:52 351K 
[   ]ocaml-qcheck-dev-0.18.1-r3.apk2024-10-25 20:52 1.4M 
[   ]ocaml-qcheck-0.18.1-r3.apk2024-10-25 20:52 681K 
[   ]ocaml-ptmap-dev-2.0.5-r3.apk2024-10-25 20:52 96K 
[   ]ocaml-ptmap-2.0.5-r3.apk2024-10-25 20:52 55K 
[   ]ocaml-ptime-dev-1.0.0-r2.apk2024-10-25 20:52 78K 
[   ]ocaml-ptime-1.0.0-r2.apk2024-10-25 20:52 116K 
[   ]ocaml-psq-dev-0.2.0-r2.apk2024-10-25 20:52 177K 
[   ]ocaml-psq-0.2.0-r2.apk2024-10-25 20:52 99K 
[   ]ocaml-ppxlib-dev-0.32.0-r0.apk2024-10-25 20:52 16M 
[   ]ocaml-ppxlib-0.32.0-r0.apk2024-10-25 20:51 13M 
[   ]ocaml-ppx_sexp_conv-dev-0.16.0-r0.apk2024-10-25 20:51 1.2M 
[   ]ocaml-ppx_sexp_conv-0.16.0-r0.apk2024-10-25 20:51 591K 
[   ]ocaml-ppx_deriving-dev-5.3.0-r0.apk2024-10-25 20:51 1.3M 
[   ]ocaml-ppx_deriving-5.3.0-r0.apk2024-10-25 20:51 5.3M 
[   ]ocaml-ppx_derivers-dev-1.2.1-r2.apk2024-10-25 20:51 11K 
[   ]ocaml-ppx_derivers-1.2.1-r2.apk2024-10-25 20:51 10K 
[   ]ocaml-ppx_blob-dev-0.8.0-r0.apk2024-10-25 20:51 19K 
[   ]ocaml-ppx_blob-0.8.0-r0.apk2024-10-25 20:51 4.0M 
[   ]ocaml-pcre-dev-7.5.0-r4.apk2024-10-25 20:51 309K 
[   ]ocaml-pcre-7.5.0-r4.apk2024-10-25 20:51 154K 
[   ]ocaml-pbkdf-dev-1.2.0-r2.apk2024-10-25 20:51 26K 
[   ]ocaml-pbkdf-1.2.0-r2.apk2024-10-25 20:51 18K 
[   ]ocaml-parsexp-dev-0.16.0-r0.apk2024-10-25 20:51 966K 
[   ]ocaml-parsexp-0.16.0-r0.apk2024-10-25 20:51 384K 
[   ]ocaml-ounit-dev-2.2.7-r3.apk2024-10-25 20:51 1.1M 
[   ]ocaml-ounit-2.2.7-r3.apk2024-10-25 20:51 547K 
[   ]ocaml-otr-dev-0.3.10-r2.apk2024-10-25 20:51 540K 
[   ]ocaml-otr-0.3.10-r2.apk2024-10-25 20:51 287K 
[   ]ocaml-otoml-dev-1.0.5-r0.apk2024-10-25 20:51 798K 
[   ]ocaml-otoml-1.0.5-r0.apk2024-10-25 20:51 446K 
[   ]ocaml-omod-dev-0.0.3-r3.apk2024-10-25 20:51 228K 
[   ]ocaml-omod-bin-0.0.3-r3.apk2024-10-25 20:51 2.8M 
[   ]ocaml-omod-0.0.3-r3.apk2024-10-25 20:51 336K 
[   ]ocaml-omake-doc-0.10.6-r0.apk2024-10-25 20:51 7.8K 
[   ]ocaml-omake-0.10.6-r0.apk2024-10-25 20:51 1.7M 
[   ]ocaml-ocplib-endian-dev-1.2-r3.apk2024-10-25 20:51 267K 
[   ]ocaml-ocplib-endian-1.2-r3.apk2024-10-25 20:51 157K 
[   ]ocaml-ocp-index-dev-1.3.6-r0.apk2024-10-25 20:51 529K 
[   ]ocaml-ocp-index-1.3.6-r0.apk2024-10-25 20:51 485K 
[   ]ocaml-ocp-indent-dev-1.8.2-r2.apk2024-10-25 20:51 638K 
[   ]ocaml-ocp-indent-1.8.2-r2.apk2024-10-25 20:51 567K 
[   ]ocaml-ocf-dev-0.8.0-r3.apk2024-10-25 20:51 330K 
[   ]ocaml-ocf-0.8.0-r3.apk2024-10-25 20:51 8.4M 
[   ]ocaml-obuild-0.1.11-r0.apk2024-10-25 20:51 1.1M 
[   ]ocaml-num-dev-1.4-r3.apk2024-10-25 20:51 102K 
[   ]ocaml-num-1.4-r3.apk2024-10-25 20:51 259K 
[   ]ocaml-notty-dev-0.2.3-r0.apk2024-10-25 20:51 628K 
[   ]ocaml-notty-0.2.3-r0.apk2024-10-25 20:51 321K 
[   ]ocaml-mtime-dev-1.4.0-r2.apk2024-10-25 20:51 50K 
[   ]ocaml-mtime-1.4.0-r2.apk2024-10-25 20:51 52K 
[   ]ocaml-mqtt-dev-0.2.2-r0.apk2024-10-25 20:51 266K 
[   ]ocaml-mqtt-0.2.2-r0.apk2024-10-25 20:51 159K 
[   ]ocaml-mmap-dev-1.2.0-r3.apk2024-10-25 20:51 6.4K 
[   ]ocaml-mmap-1.2.0-r3.apk2024-10-25 20:51 7.6K 
[   ]ocaml-mirage-time-dev-3.0.0-r4.apk2024-10-25 20:51 8.1K 
[   ]ocaml-mirage-time-3.0.0-r4.apk2024-10-25 20:51 14K 
[   ]ocaml-mirage-random-dev-3.0.0-r3.apk2024-10-25 20:51 5.2K 
[   ]ocaml-mirage-random-3.0.0-r3.apk2024-10-25 20:51 7.5K 
[   ]ocaml-mirage-profile-dev-0.9.1-r3.apk2024-10-25 20:51 32K 
[   ]ocaml-mirage-profile-0.9.1-r3.apk2024-10-25 20:51 27K 
[   ]ocaml-mirage-net-dev-4.0.0-r3.apk2024-10-25 20:51 20K 
[   ]ocaml-mirage-net-4.0.0-r3.apk2024-10-25 20:51 13K 
[   ]ocaml-mirage-kv-dev-4.0.1-r3.apk2024-10-25 20:51 44K 
[   ]ocaml-mirage-kv-4.0.1-r3.apk2024-10-25 20:51 21K 
[   ]ocaml-mirage-flow-dev-3.0.0-r3.apk2024-10-25 20:51 200K 
[   ]ocaml-mirage-flow-3.0.0-r3.apk2024-10-25 20:51 114K 
[   ]ocaml-mirage-crypto-dev-0.10.6-r3.apk2024-10-25 20:51 2.9M 
[   ]ocaml-mirage-crypto-0.10.6-r3.apk2024-10-25 20:51 1.1M 
[   ]ocaml-mirage-clock-dev-4.2.0-r2.apk2024-10-25 20:51 35K 
[   ]ocaml-mirage-clock-4.2.0-r2.apk2024-10-25 20:51 33K 
[   ]ocaml-mikmatch-1.0.9-r2.apk2024-10-25 20:51 152K 
[   ]ocaml-mew_vi-dev-0.5.0-r3.apk2024-10-25 20:51 302K 
[   ]ocaml-mew_vi-0.5.0-r3.apk2024-10-25 20:51 187K 
[   ]ocaml-mew-dev-0.1.0-r3.apk2024-10-25 20:51 99K 
[   ]ocaml-mew-0.1.0-r3.apk2024-10-25 20:51 71K 
[   ]ocaml-metrics-dev-0.4.0-r3.apk2024-10-25 20:51 463K 
[   ]ocaml-metrics-0.4.0-r3.apk2024-10-25 20:51 253K 
[   ]ocaml-merlin-extend-dev-0.6.1-r2.apk2024-10-25 20:51 94K 
[   ]ocaml-merlin-extend-0.6.1-r2.apk2024-10-25 20:51 51K 
[   ]ocaml-menhir-doc-20220210-r3.apk2024-12-08 16:20 610K 
[   ]ocaml-menhir-dev-20220210-r3.apk2024-12-08 16:20 912K 
[   ]ocaml-menhir-20220210-r3.apk2024-12-08 16:20 1.4M 
[   ]ocaml-markup-dev-1.0.3-r3.apk2024-10-25 20:51 2.2M 
[   ]ocaml-markup-1.0.3-r3.apk2024-10-25 20:51 1.0M 
[   ]ocaml-magic-mime-dev-1.3.1-r0.apk2024-10-25 20:51 343K 
[   ]ocaml-magic-mime-1.3.1-r0.apk2024-10-25 20:51 210K 
[   ]ocaml-lwt_ssl-dev-1.2.0-r0.apk2024-10-25 20:51 49K 
[   ]ocaml-lwt_ssl-1.2.0-r0.apk2024-10-25 20:51 31K 
[   ]ocaml-lwt_react-5.7.0-r0.apk2024-10-25 20:51 122K 
[   ]ocaml-lwt_ppx-5.7.0-r0.apk2024-10-25 20:51 4.0M 
[   ]ocaml-lwt_log-dev-1.1.1-r5.apk2024-10-25 20:51 263K 
[   ]ocaml-lwt_log-1.1.1-r5.apk2024-10-25 20:51 134K 
[   ]ocaml-lwt-dllist-dev-1.0.1-r3.apk2024-10-25 20:51 41K 
[   ]ocaml-lwt-dllist-1.0.1-r3.apk2024-10-25 20:51 23K 
[   ]ocaml-lwt-dev-5.7.0-r0.apk2024-10-25 20:51 3.2M 
[   ]ocaml-lwt-5.7.0-r0.apk2024-10-25 20:51 1.2M 
[   ]ocaml-lwd-dev-0.3-r0.apk2024-10-25 20:51 1.0M 
[   ]ocaml-lwd-0.3-r0.apk2024-10-25 20:51 499K 
[   ]ocaml-lru-dev-0.3.0-r2.apk2024-10-25 20:51 167K 
[   ]ocaml-lru-0.3.0-r2.apk2024-10-25 20:51 77K 
[   ]ocaml-logs-dev-0.7.0-r3.apk2024-10-25 20:51 94K 
[   ]ocaml-logs-0.7.0-r3.apk2024-10-25 20:51 125K 
[   ]ocaml-libvirt-doc-0.6.1.7-r0.apk2024-10-25 20:51 13K 
[   ]ocaml-libvirt-dev-0.6.1.7-r0.apk2024-10-25 20:51 108K 
[   ]ocaml-libvirt-0.6.1.7-r0.apk2024-10-25 20:51 177K 
[   ]ocaml-lambdasoup-dev-0.7.3-r2.apk2024-10-25 20:51 356K 
[   ]ocaml-lambdasoup-0.7.3-r2.apk2024-10-25 20:51 192K 
[   ]ocaml-lambda-term-doc-3.2.0-r4.apk2024-10-25 20:51 8.5K 
[   ]ocaml-lambda-term-dev-3.2.0-r4.apk2024-10-25 20:51 3.9M 
[   ]ocaml-lambda-term-3.2.0-r4.apk2024-10-25 20:51 3.6M 
[   ]ocaml-labltk-dev-8.06.12-r2.apk2024-10-25 20:51 1.6M 
[   ]ocaml-labltk-8.06.12-r2.apk2024-10-25 20:51 2.9M 
[   ]ocaml-lablgtk3-extras-dev-3.0.1-r2.apk2024-10-25 20:51 1.6M 
[   ]ocaml-lablgtk3-extras-3.0.1-r2.apk2024-10-25 20:51 899K 
[   ]ocaml-lablgtk3-dev-3.1.2-r3.apk2024-10-25 20:51 14M 
[   ]ocaml-lablgtk3-3.1.2-r3.apk2024-10-25 20:51 8.0M 
[   ]ocaml-jsonm-tools-1.0.2-r0.apk2024-10-25 20:51 474K 
[   ]ocaml-jsonm-dev-1.0.2-r0.apk2024-10-25 20:51 75K 
[   ]ocaml-jsonm-1.0.2-r0.apk2024-10-25 20:51 120K 
[   ]ocaml-iso8601-dev-0.2.6-r0.apk2024-10-25 20:51 90K 
[   ]ocaml-iso8601-0.2.6-r0.apk2024-10-25 20:51 54K 
[   ]ocaml-iri-dev-1.0.0-r0.apk2024-10-25 20:51 804K 
[   ]ocaml-iri-1.0.0-r0.apk2024-10-25 20:51 1.8M 
[   ]ocaml-ipaddr-dev-5.3.1-r2.apk2024-10-25 20:51 574K 
[   ]ocaml-ipaddr-5.3.1-r2.apk2024-10-25 20:51 327K 
[   ]ocaml-integers-dev-0.7.0-r2.apk2024-10-25 20:51 282K 
[   ]ocaml-integers-0.7.0-r2.apk2024-10-25 20:51 130K 
[   ]ocaml-hkdf-dev-1.0.4-r2.apk2024-10-25 20:51 18K 
[   ]ocaml-hkdf-1.0.4-r2.apk2024-10-25 20:51 15K 
[   ]ocaml-higlo-dev-0.9-r0.apk2024-10-25 20:51 707K 
[   ]ocaml-higlo-0.9-r0.apk2024-10-25 20:51 5.2M 
[   ]ocaml-hex-dev-1.5.0-r2.apk2024-10-25 20:51 55K 
[   ]ocaml-hex-1.5.0-r2.apk2024-10-25 20:51 31K 
[   ]ocaml-happy-eyeballs-lwt-tools-0.3.0-r3.apk2024-10-25 20:51 2.6M 
[   ]ocaml-happy-eyeballs-lwt-dev-0.3.0-r3.apk2024-10-25 20:51 61K 
[   ]ocaml-happy-eyeballs-lwt-0.3.0-r3.apk2024-10-25 20:51 43K 
[   ]ocaml-happy-eyeballs-dev-0.3.0-r2.apk2024-10-25 20:51 152K 
[   ]ocaml-happy-eyeballs-0.3.0-r2.apk2024-10-25 20:51 85K 
[   ]ocaml-gmap-dev-0.3.0-r2.apk2024-10-25 20:51 76K 
[   ]ocaml-gmap-0.3.0-r2.apk2024-10-25 20:51 37K 
[   ]ocaml-gitlab-dev-0.1.8-r0.apk2024-10-25 20:51 12M 
[   ]ocaml-gitlab-0.1.8-r0.apk2024-10-25 20:51 3.0M 
[   ]ocaml-gettext-doc-0.4.2-r3.apk2024-10-25 20:51 19K 
[   ]ocaml-gettext-dev-0.4.2-r3.apk2024-10-25 20:51 746K 
[   ]ocaml-gettext-0.4.2-r3.apk2024-10-25 20:51 3.6M 
[   ]ocaml-gen-dev-1.1-r1.apk2024-10-25 20:51 626K 
[   ]ocaml-gen-1.1-r1.apk2024-10-25 20:51 327K 
[   ]ocaml-fpath-dev-0.7.3-r2.apk2024-10-25 20:51 108K 
[   ]ocaml-fpath-0.7.3-r2.apk2024-10-25 20:51 141K 
[   ]ocaml-fmt-dev-0.9.0-r2.apk2024-10-25 20:51 137K 
[   ]ocaml-fmt-0.9.0-r2.apk2024-10-25 20:51 197K 
[   ]ocaml-fix-dev-20220121-r2.apk2024-10-25 20:51 438K 
[   ]ocaml-fix-20220121-r2.apk2024-10-25 20:51 170K 
[   ]ocaml-fileutils-doc-0.6.4-r2.apk2024-10-25 20:51 16K 
[   ]ocaml-fileutils-dev-0.6.4-r2.apk2024-10-25 20:51 614K 
[   ]ocaml-fileutils-0.6.4-r2.apk2024-10-25 20:51 319K 
[   ]ocaml-ezxmlm-dev-1.1.0-r0.apk2024-10-25 20:51 53K 
[   ]ocaml-ezxmlm-1.1.0-r0.apk2024-10-25 20:51 33K 
[   ]ocaml-extlib-doc-1.7.9-r2.apk2024-10-25 20:51 10K 
[   ]ocaml-extlib-dev-1.7.9-r2.apk2024-10-25 20:51 1.3M 
[   ]ocaml-extlib-1.7.9-r2.apk2024-10-25 20:51 650K 
[   ]ocaml-ethernet-dev-3.0.0-r3.apk2024-10-25 20:51 85K 
[   ]ocaml-ethernet-3.0.0-r3.apk2024-10-25 20:51 45K 
[   ]ocaml-erm_xmpp-dev-0_git20220404-r2.apk2024-10-25 20:51 1.7M 
[   ]ocaml-erm_xmpp-0_git20220404-r2.apk2024-10-25 20:51 1.3M 
[   ]ocaml-erm_xml-dev-0_git20211229-r2.apk2024-10-25 20:51 810K 
[   ]ocaml-erm_xml-0_git20211229-r2.apk2024-10-25 20:51 587K 
[   ]ocaml-eqaf-dev-0.8-r2.apk2024-10-25 20:51 124K 
[   ]ocaml-eqaf-0.8-r2.apk2024-10-25 20:51 72K 
[   ]ocaml-easy-format-dev-1.3.4-r1.apk2024-10-25 20:51 114K 
[   ]ocaml-easy-format-1.3.4-r1.apk2024-10-25 20:51 62K 
[   ]ocaml-duration-dev-0.2.0-r2.apk2024-10-25 20:51 45K 
[   ]ocaml-duration-0.2.0-r2.apk2024-10-25 20:51 27K 
[   ]ocaml-down-dev-0.1.0-r3.apk2024-10-25 20:51 368K 
[   ]ocaml-down-0.1.0-r3.apk2024-10-25 20:51 653K 
[   ]ocaml-domain-name-dev-0.4.0-r2.apk2024-10-25 20:51 144K 
[   ]ocaml-domain-name-0.4.0-r2.apk2024-10-25 20:51 75K 
[   ]ocaml-dns-tools-6.2.2-r3.apk2024-10-25 20:51 11M 
[   ]ocaml-dns-dev-6.2.2-r3.apk2024-10-25 20:51 4.2M 
[   ]ocaml-dns-6.2.2-r3.apk2024-10-25 20:51 2.3M 
[   ]ocaml-curses-dev-1.0.10-r2.apk2024-10-25 20:51 322K 
[   ]ocaml-curses-1.0.10-r2.apk2024-10-25 20:51 143K 
[   ]ocaml-ctypes-dev-0.20.1-r2.apk2024-10-25 20:51 902K 
[   ]ocaml-ctypes-0.20.1-r2.apk2024-10-25 20:51 896K 
[   ]ocaml-cstruct-dev-6.1.0-r3.apk2024-10-25 20:51 722K 
[   ]ocaml-cstruct-6.1.0-r3.apk2024-10-25 20:51 4.4M 
[   ]ocaml-cpdf-2.8.1-r0.apk2025-05-08 12:40 5.0M 
[   ]ocaml-containers-top-3.7-r2.apk2024-10-25 20:51 25K 
[   ]ocaml-containers-dev-3.7-r2.apk2024-10-25 20:51 6.9M 
[   ]ocaml-containers-3.7-r2.apk2024-10-25 20:51 3.6M 
[   ]ocaml-conduit-dev-6.1.0-r0.apk2024-10-25 20:51 562K 
[   ]ocaml-conduit-6.1.0-r0.apk2024-10-25 20:51 295K 
[   ]ocaml-compiler-libs-repackaged-dev-0.12.4-r3.apk2024-10-25 20:51 104K 
[   ]ocaml-compiler-libs-repackaged-0.12.4-r3.apk2024-10-25 20:51 83K 
[   ]ocaml-cohttp-tools-5.3.1-r0.apk2024-10-25 20:51 8.0M 
[   ]ocaml-cohttp-dev-5.3.1-r0.apk2024-10-25 20:51 1.3M 
[   ]ocaml-cohttp-5.3.1-r0.apk2024-10-25 20:51 683K 
[   ]ocaml-cmdliner-doc-1.1.1-r3.apk2024-10-25 20:51 20K 
[   ]ocaml-cmdliner-dev-1.1.1-r3.apk2024-10-25 20:51 264K 
[   ]ocaml-cmdliner-1.1.1-r3.apk2024-10-25 20:51 475K 
[   ]ocaml-charinfo_width-dev-1.1.0-r3.apk2024-10-25 20:51 196K 
[   ]ocaml-charinfo_width-1.1.0-r3.apk2024-10-25 20:51 106K 
[   ]ocaml-camomile-dev-1.0.2-r3.apk2024-10-25 20:51 2.5M 
[   ]ocaml-camomile-data-1.0.2-r3.apk2024-10-25 20:51 5.1M 
[   ]ocaml-camomile-1.0.2-r3.apk2024-10-25 20:51 1.3M 
[   ]ocaml-camlzip-dev-1.11-r2.apk2024-10-25 20:51 224K 
[   ]ocaml-camlzip-1.11-r2.apk2024-10-25 20:51 117K 
[   ]ocaml-camlpdf-2.8.1-r0.apk2025-05-08 12:40 6.1M 
[   ]ocaml-calendar-doc-2.04-r4.apk2024-10-25 20:51 12K 
[   ]ocaml-calendar-dev-2.04-r4.apk2024-10-25 20:51 192K 
[   ]ocaml-calendar-2.04-r4.apk2024-10-25 20:51 225K 
[   ]ocaml-cairo2-dev-0.6.2-r2.apk2024-10-25 20:51 450K 
[   ]ocaml-cairo2-0.6.2-r2.apk2024-10-25 20:51 170K 
[   ]ocaml-ca-certs-nss-tools-3.89.1-r1.apk2024-10-25 20:51 1.6M 
[   ]ocaml-ca-certs-nss-dev-3.89.1-r1.apk2024-10-25 20:51 448K 
[   ]ocaml-ca-certs-nss-3.89.1-r1.apk2024-10-25 20:51 395K 
[   ]ocaml-ca-certs-dev-0.2.2-r2.apk2024-10-25 20:51 41K 
[   ]ocaml-ca-certs-0.2.2-r2.apk2024-10-25 20:51 32K 
[   ]ocaml-bos-dev-0.2.1-r2.apk2024-10-25 20:51 374K 
[   ]ocaml-bos-0.2.1-r2.apk2024-10-25 20:51 470K 
[   ]ocaml-bitstring-dev-4.1.0-r3.apk2024-10-25 20:51 585K 
[   ]ocaml-bitstring-4.1.0-r3.apk2024-10-25 20:51 4.3M 
[   ]ocaml-bisect_ppx-dev-2.8.3-r0.apk2024-10-25 20:51 563K 
[   ]ocaml-bisect_ppx-2.8.3-r0.apk2024-10-25 20:51 4.9M 
[   ]ocaml-biniou-dev-1.2.1-r5.apk2024-10-25 20:51 376K 
[   ]ocaml-biniou-1.2.1-r5.apk2024-10-25 20:51 595K 
[   ]ocaml-bigstringaf-dev-0.9.0-r2.apk2024-10-25 20:51 92K 
[   ]ocaml-bigstringaf-0.9.0-r2.apk2024-10-25 20:51 48K 
[   ]ocaml-bigarray-compat-dev-1.1.0-r2.apk2024-10-25 20:51 12K 
[   ]ocaml-bigarray-compat-1.1.0-r2.apk2024-10-25 20:51 13K 
[   ]ocaml-base64-dev-3.5.0-r2.apk2024-10-25 20:51 181K 
[   ]ocaml-base64-3.5.0-r2.apk2024-10-25 20:51 93K 
[   ]ocaml-base-dev-0.16.3-r0.apk2024-10-25 20:51 9.8M 
[   ]ocaml-base-0.16.3-r0.apk2024-10-25 20:51 4.6M 
[   ]ocaml-atd-dev-2.15.0-r0.apk2024-10-25 20:51 1.9M 
[   ]ocaml-atd-2.15.0-r0.apk2024-10-25 20:51 7.1M 
[   ]ocaml-astring-dev-0.8.5-r2.apk2024-10-25 20:51 196K 
[   ]ocaml-astring-0.8.5-r2.apk2024-10-25 20:51 291K 
[   ]ocaml-asn1-combinators-dev-0.2.6-r2.apk2024-10-25 20:51 591K 
[   ]ocaml-asn1-combinators-0.2.6-r2.apk2024-10-25 20:51 319K 
[   ]ocaml-arp-dev-3.0.0-r3.apk2024-10-25 20:51 163K 
[   ]ocaml-arp-3.0.0-r3.apk2024-10-25 20:51 88K 
[   ]ocaml-angstrom-dev-0.16.0-r0.apk2024-10-25 20:51 352K 
[   ]ocaml-angstrom-0.16.0-r0.apk2024-10-25 20:51 180K 
[   ]ocaml-amqp-client-dev-2.3.0-r0.apk2024-10-25 20:51 1.1M 
[   ]ocaml-amqp-client-2.3.0-r0.apk2024-10-25 20:51 610K 
[   ]ocaml-alcotest-dev-1.5.0-r4.apk2024-10-25 20:51 846K 
[   ]ocaml-alcotest-1.5.0-r4.apk2024-10-25 20:51 473K 
[   ]obnc-doc-0.17.2-r0.apk2025-05-25 23:39 33K 
[   ]obnc-0.17.2-r0.apk2025-05-25 23:39 148K 
[   ]oblibs-dev-0.3.4.0-r0.apk2025-06-01 15:45 237K 
[   ]oblibs-dbg-0.3.4.0-r0.apk2025-06-01 15:45 92K 
[   ]oblibs-0.3.4.0-r0.apk2025-06-01 15:45 35K 
[   ]objconv-2.52_git20210213-r2.apk2024-10-25 20:51 276K 
[   ]oauth2-proxy-openrc-7.11.0-r3.apk2025-10-08 22:26 1.9K 
[   ]oauth2-proxy-7.11.0-r3.apk2025-10-08 22:26 8.3M 
[   ]nzbget-openrc-25.3-r0.apk2025-10-03 08:44 1.8K 
[   ]nzbget-25.3-r0.apk2025-10-03 08:44 4.9M 
[   ]nymphcast-mediaserver-nftables-0.1-r4.apk2025-08-28 21:09 1.4K 
[   ]nymphcast-mediaserver-0.1-r4.apk2025-08-28 21:09 74K 
[   ]nwipe-doc-0.38-r0.apk2025-06-19 17:35 3.5K 
[   ]nwipe-0.38-r0.apk2025-06-19 17:35 271K 
[   ]nwg-panel-pyc-0.10.12-r0.apk2025-07-31 07:29 270K 
[   ]nwg-panel-doc-0.10.12-r0.apk2025-07-31 07:29 4.2K 
[   ]nwg-panel-0.10.12-r0.apk2025-07-31 07:29 283K 
[   ]nwg-menu-doc-0.1.9-r3.apk2025-10-08 22:26 2.0K 
[   ]nwg-menu-0.1.9-r3.apk2025-10-08 22:26 1.7M 
[   ]nwg-dock-0.4.3-r4.apk2025-10-08 22:26 1.7M 
[   ]nwg-displays-pyc-0.3.26-r0.apk2025-08-28 07:40 36K 
[   ]nwg-displays-0.3.26-r0.apk2025-08-28 07:40 26K 
[   ]nwg-bar-0.1.6-r14.apk2025-10-08 22:26 1.6M 
[   ]nvtop-doc-3.2.0-r0.apk2025-04-29 23:40 3.3K 
[   ]nvtop-3.2.0-r0.apk2025-04-29 23:40 66K 
[   ]nvimpager-zsh-completion-0.12.0-r0.apk2024-10-25 20:51 1.6K 
[   ]nvimpager-doc-0.12.0-r0.apk2024-10-25 20:51 4.2K 
[   ]nvimpager-0.12.0-r0.apk2024-10-25 20:51 12K 
[   ]nvim-web-devicons-doc-0.100_git20241011-r0.apk2024-11-11 03:58 6.9K 
[   ]nvim-web-devicons-0.100_git20241011-r0.apk2024-11-11 03:58 27K 
[   ]nvim-packer-doc-0.0.0_git20220910-r1.apk2024-10-25 20:51 21K 
[   ]nvim-packer-0.0.0_git20220910-r1.apk2024-10-25 20:51 45K 
[   ]nvim-gruvbox-doc-0.0.0_git20221212-r1.apk2024-10-25 20:51 2.5K 
[   ]nvim-gruvbox-0.0.0_git20221212-r1.apk2024-10-25 20:51 9.9K 
[   ]nvim-cmp-path-doc-0.0.0_git20221002-r1.apk2024-10-25 20:51 1.7K 
[   ]nvim-cmp-path-0.0.0_git20221002-r1.apk2024-10-25 20:51 3.5K 
[   ]nvim-cmp-luasnip-doc-0.0.0_git20220501-r1.apk2024-10-25 20:51 1.8K 
[   ]nvim-cmp-luasnip-0.0.0_git20220501-r1.apk2024-10-25 20:51 3.3K 
[   ]nvim-cmp-lsp-doc-0.0.0_git20220516-r1.apk2024-10-25 20:51 2.3K 
[   ]nvim-cmp-lsp-0.0.0_git20220516-r1.apk2024-10-25 20:51 3.2K 
[   ]nvim-cmp-doc-0.0.0_git20221011-r1.apk2024-10-25 20:51 10K 
[   ]nvim-cmp-cmdline-doc-0.0.0_git20220902-r1.apk2024-10-25 20:51 1.5K 
[   ]nvim-cmp-cmdline-0.0.0_git20220902-r1.apk2024-10-25 20:51 3.1K 
[   ]nvim-cmp-buffer-doc-0.0.0_git20220810-r1.apk2024-10-25 20:51 3.9K 
[   ]nvim-cmp-buffer-0.0.0_git20220810-r1.apk2024-10-25 20:51 7.5K 
[   ]nvim-cmp-0.0.0_git20221011-r1.apk2024-10-25 20:51 55K 
[   ]nuzzle-doc-1.6-r0.apk2025-01-17 14:16 2.9K 
[   ]nuzzle-1.6-r0.apk2025-01-17 14:16 12K 
[   ]numbat-doc-1.16.0-r0.apk2025-08-19 13:23 32K 
[   ]numbat-1.16.0-r0.apk2025-08-19 13:23 1.8M 
[   ]nullmailer-openrc-2.2-r4.apk2024-10-25 20:51 1.6K 
[   ]nullmailer-doc-2.2-r4.apk2024-10-25 20:51 10K 
[   ]nullmailer-2.2-r4.apk2024-10-25 20:51 141K 
[   ]nuklear-doc-4.12.0-r0.apk2024-10-25 20:51 42K 
[   ]nuklear-4.12.0-r0.apk2024-10-25 20:51 220K 
[   ]ntpd-rs-openrc-1.6.2-r1.apk2025-10-03 08:44 1.7K 
[   ]ntpd-rs-doc-1.6.2-r1.apk2025-10-03 08:44 24K 
[   ]ntpd-rs-1.6.2-r1.apk2025-10-03 08:44 3.4M 
[   ]nsq-1.3.0-r10.apk2025-05-14 17:29 24M 
[   ]nsnake-doc-3.0.0-r0.apk2024-10-25 20:51 2.6K 
[   ]nsnake-3.0.0-r0.apk2024-10-25 20:51 9.9K 
[   ]nsh-dbg-0.4.2-r1.apk2024-10-25 20:51 3.3M 
[   ]nsh-0.4.2-r1.apk2024-10-25 20:51 646K 
[   ]nrf5-sdk-doc-17.1.0-r0.apk2025-08-19 19:54 3.4K 
[   ]nrf5-sdk-17.1.0-r0.apk2025-08-19 19:54 47M 
[   ]notification-daemon-3.20.0-r1.apk2025-07-01 23:20 61K 
[   ]normaliz-libs-3.10.4-r2.apk2025-06-13 05:00 2.9M 
[   ]normaliz-dev-3.10.4-r2.apk2025-06-13 05:00 72K 
[   ]normaliz-3.10.4-r2.apk2025-06-13 05:00 43K 
[   ]nomadnet-pyc-0.8.0-r0.apk2025-10-03 08:44 284K 
[   ]nomadnet-0.8.0-r0.apk2025-10-03 08:44 143K 
[   ]nom-doc-2.8.0-r7.apk2025-10-08 22:26 3.8K 
[   ]nom-2.8.0-r7.apk2025-10-08 22:26 6.8M 
[   ]noice-doc-0.8-r1.apk2024-10-25 20:51 3.1K 
[   ]noice-0.8-r1.apk2024-10-25 20:51 9.7K 
[   ]noggin-model-lightweight-0.1-r0.apk2024-10-25 20:51 1.7M 
[   ]noggin-model-0.1-r0.apk2024-10-25 20:51 12M 
[   ]node-libpg-query-13.1.2-r5.apk2024-10-25 20:51 19K 
[   ]noblenote-1.2.1-r1.apk2024-10-25 20:51 403K 
[   ]nmap-parse-output-doc-1.5.1-r1.apk2025-05-29 12:09 807K 
[   ]nmap-parse-output-bash-completion-1.5.1-r1.apk2025-05-29 12:09 1.7K 
[   ]nmap-parse-output-1.5.1-r1.apk2025-05-29 12:09 20K 
[   ]nm-tray-lang-0.5.1-r0.apk2025-09-11 17:36 27K 
[   ]nm-tray-0.5.1-r0.apk2025-09-11 17:36 104K 
[   ]nlopt-guile-2.10.0-r2.apk2025-10-03 08:44 42K 
[   ]nlopt-doc-2.10.0-r2.apk2025-10-03 08:44 23K 
[   ]nlopt-dev-2.10.0-r2.apk2025-10-03 08:44 12K 
[   ]nlopt-2.10.0-r2.apk2025-10-03 08:44 196K 
[   ]nkk-doc-0_git20221010-r0.apk2024-10-25 20:51 6.7K 
[   ]nkk-dev-0_git20221010-r0.apk2024-10-25 20:51 2.6K 
[   ]nkk-0_git20221010-r0.apk2024-10-25 20:51 16K 
[   ]nitrocli-doc-0.4.1-r3.apk2024-10-25 20:51 8.6K 
[   ]nitrocli-bash-completion-0.4.1-r3.apk2024-10-25 20:51 3.0K 
[   ]nitrocli-0.4.1-r3.apk2024-10-25 20:51 461K 
[   ]nitro-init-doc-0.4.1-r0.apk2025-10-03 08:44 4.2K 
[   ]nitro-init-0.4.1-r0.apk2025-10-03 08:44 22K 
[   ]nitro-dev-2.7_beta8-r2.apk2024-10-25 20:51 190K 
[   ]nitro-2.7_beta8-r2.apk2024-10-25 20:51 528K 
[   ]nicotine-plus-pyc-3.3.10-r0.apk2025-05-04 02:50 789K 
[   ]nicotine-plus-lang-3.3.10-r0.apk2025-05-04 02:50 757K 
[   ]nicotine-plus-doc-3.3.10-r0.apk2025-05-04 02:50 2.3K 
[   ]nicotine-plus-3.3.10-r0.apk2025-05-04 02:50 1.6M 
[   ]ngs-vim-0.2.14-r0.apk2024-10-25 20:51 4.9K 
[   ]ngs-aws-0.2.14-r0.apk2024-10-25 20:51 33K 
[   ]ngs-0.2.14-r0.apk2024-10-25 20:51 295K 
[   ]nginx-ultimate-bad-bot-blocker-doc-4.2023.10.4046-r1.apk2024-10-25 20:51 21K 
[   ]nginx-ultimate-bad-bot-blocker-4.2023.10.4046-r1.apk2024-10-25 20:51 712K 
[   ]nfoview-doc-2.1-r0.apk2025-04-13 10:30 7.7K 
[   ]nfoview-2.1-r0.apk2025-04-13 10:30 38K 
[   ]nfcd-dev-1.2.2-r1.apk2025-08-09 12:15 24K 
[   ]nfcd-1.2.2-r1.apk2025-08-09 12:15 338K 
[   ]nextpnr-ice40-0.7-r0.apk2024-10-25 20:51 69M 
[   ]nextpnr-gowin-0.7-r0.apk2024-10-25 20:51 1.5M 
[   ]nextpnr-generic-0.7-r0.apk2024-10-25 20:51 795K 
[   ]nextpnr-ecp5-0.7-r0.apk2024-10-25 20:51 25M 
[   ]nextpnr-0.7-r0.apk2024-10-25 20:51 1.2K 
[   ]newsyslog-doc-1.2.0.91-r1.apk2024-10-25 20:51 24K 
[   ]newsyslog-1.2.0.91-r1.apk2024-10-25 20:51 18K 
[   ]networkmanager-dmenu-doc-2.6.1-r0.apk2025-07-23 08:13 6.5K 
[   ]networkmanager-dmenu-2.6.1-r0.apk2025-07-23 08:13 14K 
[   ]netsed-1.4-r0.apk2025-08-18 10:16 10K 
[   ]netscanner-doc-0.5.1-r1.apk2025-07-01 18:42 3.0K 
[   ]netscanner-0.5.1-r1.apk2025-07-01 18:42 3.7M 
[   ]netdiscover-doc-0.21-r0.apk2025-08-15 12:51 3.9K 
[   ]netdiscover-0.21-r0.apk2025-08-15 12:51 459K 
[   ]net-predictable-doc-1.5.1-r4.apk2025-10-08 22:26 2.0K 
[   ]net-predictable-1.5.1-r4.apk2025-10-08 22:26 941K 
[   ]nerdlog-doc-1.10.0-r4.apk2025-10-08 22:26 13K 
[   ]nerdlog-1.10.0-r4.apk2025-10-08 22:26 2.7M 
[   ]neofetch-doc-7.1.0-r2.apk2024-11-06 21:47 5.9K 
[   ]neofetch-7.1.0-r2.apk2024-11-06 21:47 85K 
[   ]neocmakelsp-zsh-completion-0.8.25-r0.apk2025-08-29 18:53 1.6K 
[   ]neocmakelsp-fish-completion-0.8.25-r0.apk2025-08-29 18:53 1.4K 
[   ]neocmakelsp-doc-0.8.25-r0.apk2025-08-29 18:53 5.6K 
[   ]neocmakelsp-bash-completion-0.8.25-r0.apk2025-08-29 18:53 1.7K 
[   ]neocmakelsp-0.8.25-r0.apk2025-08-29 18:53 1.7M 
[   ]neo4j-client-doc-2.2.0-r3.apk2024-10-25 20:51 5.4K 
[   ]neo4j-client-2.2.0-r3.apk2024-10-25 20:51 30K 
[   ]nemo-gtkhash-plugin-1.5-r0.apk2025-03-10 19:32 23K 
[   ]neko-doc-2.3.0-r0.apk2024-11-20 22:27 20K 
[   ]neko-dev-2.3.0-r0.apk2024-11-20 22:27 10K 
[   ]neko-2.3.0-r0.apk2024-11-20 22:27 468K 
[   ]neard-openrc-0.19-r0.apk2024-10-25 20:51 1.4K 
[   ]neard-doc-0.19-r0.apk2024-10-25 20:51 5.3K 
[   ]neard-dev-0.19-r0.apk2024-10-25 20:51 11K 
[   ]neard-0.19-r0.apk2024-10-25 20:51 136K 
[   ]ndpi-dev-4.10-r0.apk2024-10-25 20:51 935K 
[   ]ndpi-4.10-r0.apk2024-10-25 20:51 1.4M 
[   ]nbsdgames-doc-5-r0.apk2024-10-25 20:51 9.4K 
[   ]nbsdgames-5-r0.apk2024-10-25 20:51 144K 
[   ]nb-zsh-completion-7.19.1-r0.apk2025-05-24 22:12 2.7K 
[   ]nb-full-7.19.1-r0.apk2025-05-24 22:12 1.0K 
[   ]nb-fish-completion-7.19.1-r0.apk2025-05-24 22:12 2.5K 
[   ]nb-doc-7.19.1-r0.apk2025-05-24 22:12 77K 
[   ]nb-bash-completion-7.19.1-r0.apk2025-05-24 22:12 2.7K 
[   ]nb-7.19.1-r0.apk2025-05-24 22:12 152K 
[   ]nauty-libs-2.9.1-r0.apk2025-09-08 16:46 3.2M 
[   ]nauty-dev-2.9.1-r0.apk2025-09-08 16:46 4.5M 
[   ]nauty-2.9.1-r0.apk2025-09-08 16:46 6.8M 
[   ]nano-hare-0_git20231021-r0.apk2024-10-25 20:51 1.9K 
[   ]n30f-2.0-r3.apk2024-10-25 20:51 7.1K 
[   ]mxclient-0_git20211002-r1.apk2024-10-25 20:51 78K 
[   ]musikcube-plugin-taglibreader-3.0.5-r0.apk2025-10-03 08:44 38K 
[   ]musikcube-plugin-supereqdsp-3.0.5-r0.apk2025-10-03 08:44 30K 
[   ]musikcube-plugin-stockencoders-3.0.5-r0.apk2025-10-03 08:44 22K 
[   ]musikcube-plugin-server-3.0.5-r0.apk2025-10-03 08:44 399K 
[   ]musikcube-plugin-openmpt-3.0.5-r0.apk2025-10-03 08:44 32K 
[   ]musikcube-plugin-mpris-3.0.5-r0.apk2025-10-03 08:44 22K 
[   ]musikcube-plugin-httpdatastream-3.0.5-r0.apk2025-10-03 08:44 82K 
[   ]musikcube-plugin-all-3.0.5-r0.apk2025-10-03 08:44 1.1K 
[   ]musikcube-dev-3.0.5-r0.apk2025-10-03 08:44 19K 
[   ]musikcube-3.0.5-r0.apk2025-10-03 08:44 2.3M 
[   ]muse-doc-4.2.1-r2.apk2025-05-14 17:29 4.1M 
[   ]muse-4.2.1-r2.apk2025-05-14 17:29 6.4M 
[   ]murex-doc-7.0.2107-r4.apk2025-10-08 22:26 303K 
[   ]murex-7.0.2107-r4.apk2025-10-08 22:26 6.0M 
[   ]mtg-openrc-2.1.7-r25.apk2025-10-08 22:26 1.6K 
[   ]mtg-2.1.7-r25.apk2025-10-08 22:26 4.4M 
[   ]mspdebug-doc-0.25-r1.apk2024-10-25 20:51 14K 
[   ]mspdebug-0.25-r1.apk2024-10-25 20:51 220K 
[   ]msh-openrc-2.5.0-r16.apk2025-10-08 22:26 1.7K 
[   ]msh-2.5.0-r16.apk2025-10-08 22:26 2.8M 
[   ]msgpuck-doc-2.0-r1.apk2024-10-25 20:51 7.3K 
[   ]msgpuck-dev-2.0-r1.apk2024-10-25 20:51 24K 
[   ]msgpuck-2.0-r1.apk2024-10-25 20:51 1.2K 
[   ]mrsh-libs-0_git20210518-r1.apk2024-10-25 20:51 64K 
[   ]mrsh-dev-0_git20210518-r1.apk2024-10-25 20:51 9.7K 
[   ]mrsh-dbg-0_git20210518-r1.apk2024-10-25 20:51 198K 
[   ]mrsh-0_git20210518-r1.apk2024-10-25 20:51 5.5K 
[   ]mqtt2prometheus-0.1.7-r20.apk2025-10-08 22:26 4.3M 
[   ]mpv-sponsorblock-2.2.0-r0.apk2025-06-16 15:17 1.4M 
[   ]mpdris2-lang-0.9.1-r3.apk2024-10-25 20:51 2.3K 
[   ]mpdris2-doc-0.9.1-r3.apk2024-10-25 20:51 15K 
[   ]mpdris2-0.9.1-r3.apk2024-10-25 20:51 15K 
[   ]mpdcron-zsh-completion-0.3-r1.apk2024-10-25 20:51 2.6K 
[   ]mpdcron-doc-0.3-r1.apk2024-10-25 20:51 13K 
[   ]mpdcron-dev-0.3-r1.apk2024-10-25 20:51 65K 
[   ]mpdcron-0.3-r1.apk2024-10-25 20:51 98K 
[   ]mp3val-0.1.8-r1.apk2024-10-25 20:51 14K 
[   ]motion-openrc-4.7.1-r0.apk2025-10-03 08:44 2.0K 
[   ]motion-lang-4.7.1-r0.apk2025-10-03 08:44 471K 
[   ]motion-doc-4.7.1-r0.apk2025-10-03 08:44 139K 
[   ]motion-4.7.1-r0.apk2025-10-03 08:44 140K 
[   ]moosefs-static-4.56.6-r2.apk2025-06-19 08:53 700K 
[   ]moosefs-metalogger-openrc-4.56.6-r2.apk2025-06-19 08:53 1.4K 
[   ]moosefs-metalogger-4.56.6-r2.apk2025-06-19 08:53 41K 
[   ]moosefs-master-openrc-4.56.6-r2.apk2025-06-19 08:53 1.4K 
[   ]moosefs-master-4.56.6-r2.apk2025-06-19 08:53 367K 
[   ]moosefs-doc-4.56.6-r2.apk2025-06-19 08:53 95K 
[   ]moosefs-client-4.56.6-r2.apk2025-06-19 08:53 629K 
[   ]moosefs-chunkserver-openrc-4.56.6-r2.apk2025-06-19 08:53 1.4K 
[   ]moosefs-chunkserver-4.56.6-r2.apk2025-06-19 08:53 181K 
[   ]moosefs-cgiserv-openrc-4.56.6-r2.apk2025-06-19 08:53 1.7K 
[   ]moosefs-cgiserv-4.56.6-r2.apk2025-06-19 08:53 7.6K 
[   ]moosefs-cgi-4.56.6-r2.apk2025-06-19 08:53 121K 
[   ]moosefs-4.56.6-r2.apk2025-06-19 08:53 259K 
[   ]moon-buggy-doc-1.0.51-r1.apk2024-10-25 20:51 6.9K 
[   ]moon-buggy-1.0.51-r1.apk2024-10-25 20:51 38K 
[   ]monopd-openrc-0.10.4-r0.apk2025-01-11 11:11 1.4K 
[   ]monopd-0.10.4-r0.apk2025-01-11 11:11 91K 
[   ]mongo-cxx-driver-dev-3.8.0-r0.apk2024-10-25 20:51 89K 
[   ]mongo-cxx-driver-3.8.0-r0.apk2024-10-25 20:51 187K 
[   ]monetdb-doc-11.33.11-r4.apk2024-10-25 20:51 321K 
[   ]monetdb-dev-11.33.11-r4.apk2024-10-25 20:51 77K 
[   ]monetdb-11.33.11-r4.apk2024-10-25 20:51 2.4M 
[   ]moka-icon-theme-5.4.0-r2.apk2024-10-25 20:51 114M 
[   ]moe-doc-1.14-r0.apk2024-10-25 20:51 19K 
[   ]moe-1.14-r0.apk2024-10-25 20:51 112K 
[   ]mods-doc-1.8.1-r2.apk2025-10-08 22:26 2.0K 
[   ]mods-1.8.1-r2.apk2025-10-08 22:26 9.9M 
[   ]modem-manager-gui-lang-0.0.20-r0.apk2024-10-25 20:51 129K 
[   ]modem-manager-gui-doc-0.0.20-r0.apk2024-10-25 20:51 3.9M 
[   ]modem-manager-gui-0.0.20-r0.apk2024-10-25 20:51 350K 
[   ]mod_dnssd-0.6-r1.apk2025-08-09 12:15 9.0K 
[   ]mobroute-doc-0.10.0-r4.apk2025-10-08 22:26 1.3M 
[   ]mobroute-0.10.0-r4.apk2025-10-08 22:26 4.5M 
[   ]mobpass-pyc-0.2-r6.apk2024-10-25 20:51 5.0K 
[   ]mobpass-0.2-r6.apk2024-10-25 20:51 18K 
[   ]mnamer-pyc-2.5.5-r1.apk2024-10-25 20:51 60K 
[   ]mnamer-2.5.5-r1.apk2024-10-25 20:51 31K 
[   ]mml-zsh-completion-1.0.0-r1.apk2025-10-03 08:44 2.5K 
[   ]mml-fish-completion-1.0.0-r1.apk2025-10-03 08:44 2.0K 
[   ]mml-doc-1.0.0-r1.apk2025-10-03 08:44 3.5K 
[   ]mml-bash-completion-1.0.0-r1.apk2025-10-03 08:44 2.0K 
[   ]mml-1.0.0-r1.apk2025-10-03 08:44 930K 
[   ]mmar-0.2.5-r1.apk2025-05-14 17:29 2.5M 
[   ]mm-doc-1.4.2-r1.apk2024-10-25 20:51 14K 
[   ]mm-dev-1.4.2-r1.apk2024-10-25 20:51 13K 
[   ]mm-common-doc-1.0.7-r0.apk2025-06-27 16:40 33K 
[   ]mm-common-1.0.7-r0.apk2025-06-27 16:40 414K 
[   ]mm-1.4.2-r1.apk2024-10-25 20:51 7.7K 
[   ]mlxl-0.1-r0.apk2024-10-25 20:51 6.1K 
[   ]mlir-next-static-22.0.0_pre20250926-r0.apk2025-10-03 08:44 49M 
[   ]mlir-next-libs-22.0.0_pre20250926-r0.apk2025-10-03 08:44 21M 
[   ]mlir-next-dev-22.0.0_pre20250926-r0.apk2025-10-03 08:44 6.9M 
[   ]mlir-next-22.0.0_pre20250926-r0.apk2025-10-03 08:44 22M 
[   ]mktorrent-borg-doc-0.9.9-r1.apk2024-10-25 20:51 2.5K 
[   ]mktorrent-borg-0.9.9-r1.apk2024-10-25 20:51 11K 
[   ]mkosi-pyc-25.3_git20250929-r0.apk2025-10-03 08:44 431K 
[   ]mkosi-doc-25.3_git20250929-r0.apk2025-10-03 08:44 74K 
[   ]mkosi-25.3_git20250929-r0.apk2025-10-03 08:44 289K 
[   ]mkg3a-doc-0.5.0-r1.apk2024-10-25 20:51 2.8K 
[   ]mkg3a-0.5.0-r1.apk2024-10-25 20:51 18K 
[   ]mkdotenv-0.4.9-r1.apk2025-10-08 22:26 927K 
[   ]mkdocs-windmill-pyc-1.0.5-r4.apk2024-10-25 20:51 1.5K 
[   ]mkdocs-windmill-1.0.5-r4.apk2024-10-25 20:51 944K 
[   ]mkdocs-rtd-dropdown-pyc-1.0.2-r5.apk2024-10-25 20:51 1.6K 
[   ]mkdocs-rtd-dropdown-1.0.2-r5.apk2024-10-25 20:51 248K 
[   ]mkdocs-ivory-pyc-0.4.6-r5.apk2024-10-25 20:51 1.5K 
[   ]mkdocs-ivory-0.4.6-r5.apk2024-10-25 20:51 11K 
[   ]mkdocs-gitbook-pyc-0.0.1-r5.apk2024-10-25 20:51 1.6K 
[   ]mkdocs-gitbook-0.0.1-r5.apk2024-10-25 20:51 644K 
[   ]mkdocs-cluster-pyc-0.0.9-r5.apk2024-10-25 20:51 1.6K 
[   ]mkdocs-cluster-0.0.9-r5.apk2024-10-25 20:51 651K 
[   ]mkdocs-cinder-pyc-1.2.0-r5.apk2024-10-25 20:51 1.5K 
[   ]mkdocs-cinder-1.2.0-r5.apk2024-10-25 20:51 248K 
[   ]mkdocs-bootswatch-pyc-1.1-r5.apk2024-10-25 20:51 4.5K 
[   ]mkdocs-bootswatch-1.1-r5.apk2024-10-25 20:51 538K 
[   ]mkdocs-bootstrap386-pyc-0.0.2-r5.apk2024-10-25 20:51 1.6K 
[   ]mkdocs-bootstrap386-0.0.2-r5.apk2024-10-25 20:51 791K 
[   ]mkdocs-bootstrap4-pyc-0.1.5-r5.apk2024-10-25 20:51 1.5K 
[   ]mkdocs-bootstrap4-0.1.5-r5.apk2024-10-25 20:51 260K 
[   ]mkdocs-bootstrap-pyc-1.1.1-r2.apk2024-10-25 20:51 1.6K 
[   ]mkdocs-bootstrap-1.1.1-r2.apk2024-10-25 20:51 28K 
[   ]mkcert-1.4.4-r23.apk2025-10-08 22:26 1.7M 
[   ]mkbrr-1.15.0-r2.apk2025-10-08 22:26 3.9M 
[   ]mjpg-streamer-0_git20210220-r2.apk2025-05-14 17:29 196K 
[   ]mirrorhall-0.1.1-r2.apk2025-08-09 12:15 26K 
[   ]miracle-wm-dev-0.7.1-r0.apk2025-10-03 08:44 8.6K 
[   ]miracle-wm-0.7.1-r0.apk2025-10-03 08:44 449K 
[   ]mir-test-tools-2.22.2-r0.apk2025-10-03 08:44 1.3M 
[   ]mir-dev-2.22.2-r0.apk2025-10-03 08:44 8.4M 
[   ]mir-demos-2.22.2-r0.apk2025-10-03 08:44 155K 
[   ]mir-2.22.2-r0.apk2025-10-03 08:44 2.4M 
[   ]mint-y-theme-xfwm4-2.3.1-r0.apk2025-08-06 08:55 203K 
[   ]mint-y-theme-metacity-2.3.1-r0.apk2025-08-06 08:55 55K 
[   ]mint-y-theme-gtk4-2.3.1-r0.apk2025-08-06 08:55 1.7M 
[   ]mint-y-theme-gtk3-2.3.1-r0.apk2025-08-06 08:55 2.0M 
[   ]mint-y-theme-gtk2-2.3.1-r0.apk2025-08-06 08:55 595K 
[   ]mint-y-theme-2.3.1-r0.apk2025-08-06 08:55 3.3K 
[   ]mint-y-icons-doc-1.8.3-r0.apk2025-02-05 22:52 11K 
[   ]mint-y-icons-1.8.3-r0.apk2025-02-05 22:52 72M 
[   ]mint-x-theme-xfwm4-2.3.1-r0.apk2025-08-06 08:55 31K 
[   ]mint-x-theme-metacity-2.3.1-r0.apk2025-08-06 08:55 5.8K 
[   ]mint-x-theme-gtk4-2.3.1-r0.apk2025-08-06 08:55 503K 
[   ]mint-x-theme-gtk3-2.3.1-r0.apk2025-08-06 08:55 597K 
[   ]mint-x-theme-gtk2-2.3.1-r0.apk2025-08-06 08:55 452K 
[   ]mint-x-theme-2.3.1-r0.apk2025-08-06 08:55 2.5K 
[   ]mint-x-icons-doc-1.7.2-r0.apk2024-12-24 10:07 7.1K 
[   ]mint-x-icons-1.7.2-r0.apk2024-12-24 10:07 22M 
[   ]mint-themes-doc-2.3.1-r0.apk2025-08-06 08:55 14K 
[   ]mint-themes-2.3.1-r0.apk2025-08-06 08:55 1.8M 
[   ]minisatip-openrc-1.3.4-r0.apk2024-10-25 20:50 1.6K 
[   ]minisatip-1.3.4-r0.apk2024-10-25 20:50 308K 
[   ]minimodem-doc-0.24-r1.apk2024-10-25 20:50 4.9K 
[   ]minimodem-0.24-r1.apk2024-10-25 20:50 21K 
[   ]minigalaxy-pyc-1.4.0-r0.apk2025-07-11 03:37 134K 
[   ]minigalaxy-1.4.0-r0.apk2025-07-11 03:37 197K 
[   ]minidyndns-openrc-1.3.0-r3.apk2024-10-25 20:50 1.8K 
[   ]minidyndns-doc-1.3.0-r3.apk2024-10-25 20:50 5.1K 
[   ]minidyndns-1.3.0-r3.apk2024-10-25 20:50 12K 
[   ]mimeo-pyc-2023-r2.apk2024-10-25 20:50 41K 
[   ]mimeo-2023-r2.apk2024-10-25 20:50 28K 
[   ]mimedefang-doc-3.6-r1.apk2025-10-06 01:17 81K 
[   ]mimedefang-3.6-r1.apk2025-10-06 01:17 160K 
[   ]milkytracker-doc-1.04.00-r2.apk2024-10-25 20:50 50K 
[   ]milkytracker-1.04.00-r2.apk2024-10-25 20:50 1.0M 
[   ]metricbeat-openrc-8.14.2-r9.apk2025-10-08 22:26 1.8K 
[   ]metricbeat-8.14.2-r9.apk2025-10-08 22:26 36M 
[   ]metalang99-1.13.3-r0.apk2024-10-25 20:50 54K 
[   ]metadata-cleaner-lang-2.5.6-r0.apk2025-01-31 15:22 66K 
[   ]metadata-cleaner-doc-2.5.6-r0.apk2025-01-31 15:22 1.9M 
[   ]metadata-cleaner-2.5.6-r0.apk2025-01-31 15:22 49K 
[   ]mesonlsp-4.3.7-r4.apk2025-06-19 05:36 2.0M 
[   ]meson-tools-doc-0.1-r2.apk2024-12-09 16:38 8.0K 
[   ]meson-tools-0.1-r2.apk2024-12-09 16:38 12K 
[   ]merlin-vim-4.14-r0.apk2024-10-25 20:50 28K 
[   ]merlin-emacs-4.14-r0.apk2024-10-25 20:50 28K 
[   ]merlin-dev-4.14-r0.apk2024-10-25 20:50 23M 
[   ]merlin-4.14-r0.apk2024-10-25 20:50 15M 
[   ]mergerfs-doc-2.40.2-r1.apk2024-10-25 20:50 42K 
[   ]mergerfs-2.40.2-r1.apk2024-10-25 20:50 292K 
[   ]menumaker-0.99.14-r1.apk2024-10-25 20:50 111K 
[   ]memdump-doc-1.01-r1.apk2024-10-25 20:50 2.9K 
[   ]memdump-1.01-r1.apk2024-10-25 20:50 5.8K 
[   ]meli-doc-0.8.11-r0.apk2025-05-04 14:18 48K 
[   ]meli-0.8.11-r0.apk2025-05-04 14:18 5.0M 
[   ]melange-zsh-completion-0.31.6-r1.apk2025-10-08 22:26 3.8K 
[   ]melange-fish-completion-0.31.6-r1.apk2025-10-08 22:26 4.1K 
[   ]melange-bash-completion-0.31.6-r1.apk2025-10-08 22:26 6.4K 
[   ]melange-0.31.6-r1.apk2025-10-08 22:26 12M 
[   ]megazeux-doc-2.93d-r0.apk2025-06-10 13:47 465K 
[   ]megazeux-2.93d-r0.apk2025-06-10 13:47 1.6M 
[   ]megatools-doc-1.11.5.20250706-r0.apk2025-07-30 17:06 52K 
[   ]megatools-bash-completion-1.11.5.20250706-r0.apk2025-07-30 17:06 3.8K 
[   ]megatools-1.11.5.20250706-r0.apk2025-07-30 17:06 64K 
[   ]meep-dev-1.31.0-r1.apk2025-08-19 13:15 548K 
[   ]meep-1.31.0-r1.apk2025-08-19 13:15 661K 
[   ]mediastreamer2-plugin-x264-20200722-r6.apk2024-10-25 20:50 8.8K 
[   ]mediastreamer2-plugin-openh264-5.2.0_git20231020-r1.apk2025-03-06 22:31 12K 
[   ]mediastreamer2-doc-5.3.100-r1.apk2025-06-01 15:45 107K 
[   ]mediastreamer2-dev-5.3.100-r1.apk2025-06-01 15:45 109K 
[   ]mediastreamer2-5.3.100-r1.apk2025-06-01 15:45 385K 
[   ]mediascanner2-0.118-r3.apk2025-08-19 20:13 282K 
[   ]mdp-doc-1.0.18-r0.apk2025-07-09 21:13 3.6K 
[   ]mdp-1.0.18-r0.apk2025-07-09 21:13 17K 
[   ]mdnsd-static-0.12-r1.apk2024-10-25 20:50 20K 
[   ]mdnsd-openrc-0.12-r1.apk2024-10-25 20:50 1.9K 
[   ]mdnsd-libs-0.12-r1.apk2024-10-25 20:50 19K 
[   ]mdnsd-doc-0.12-r1.apk2024-10-25 20:50 14K 
[   ]mdnsd-0.12-r1.apk2024-10-25 20:50 24K 
[   ]mdcat-zsh-completion-2.7.1-r0.apk2024-12-14 18:05 2.2K 
[   ]mdcat-fish-completion-2.7.1-r0.apk2024-12-14 18:05 1.9K 
[   ]mdcat-doc-2.7.1-r0.apk2024-12-14 18:05 5.8K 
[   ]mdcat-bash-completion-2.7.1-r0.apk2024-12-14 18:05 1.9K 
[   ]mdcat-2.7.1-r0.apk2024-12-14 18:05 3.2M 
[   ]mdbook-plantuml-0.8.0-r0.apk2024-10-25 20:50 958K 
[   ]mdbook-mermaid-0.16.0-r0.apk2025-10-03 08:44 1.7M 
[   ]mdbook-linkcheck-0.7.7-r0.apk2025-05-16 19:17 2.8M 
[   ]mdbook-katex-0.9.4-r0.apk2025-05-17 21:53 1.2M 
[   ]mdbook-alerts-0.8.0-r0.apk2025-10-03 08:44 748K 
[   ]mdbook-admonish-1.20.0-r0.apk2025-06-16 22:23 1.1M 
[   ]md5ha1-0_git20171202-r1.apk2024-10-25 20:50 8.9K 
[   ]mcqd-dev-1.0.0-r1.apk2024-10-25 20:50 3.8K 
[   ]mcqd-1.0.0-r1.apk2024-10-25 20:50 15K 
[   ]mcjoin-doc-2.11-r0.apk2024-10-25 20:50 54K 
[   ]mcjoin-2.11-r0.apk2024-10-25 20:50 27K 
[   ]mbrola-3.3-r0.apk2024-10-25 20:50 24K 
[   ]maxima-emacs-5.48.1-r9.apk2025-08-22 10:31 110K 
[   ]maxima-doc-extra-5.48.1-r9.apk2025-08-22 10:31 9.6M 
[   ]maxima-doc-5.48.1-r9.apk2025-08-22 10:31 845K 
[   ]maxima-bash-completion-5.48.1-r9.apk2025-08-22 10:31 2.1K 
[   ]maxima-5.48.1-r9.apk2025-08-22 10:31 25M 
[   ]materia-light-kde-yakuake-20220823-r0.apk2024-10-25 20:50 21K 
[   ]materia-light-kde-plasma-20220823-r0.apk2024-10-25 20:50 20K 
[   ]materia-light-kde-kvantum-20220823-r0.apk2024-10-25 20:50 29K 
[   ]materia-light-compact-kde-kvantum-20220823-r0.apk2024-10-25 20:50 1.2K 
[   ]materia-kde-plasma-20220823-r0.apk2024-10-25 20:50 1.7M 
[   ]materia-kde-kvantum-20220823-r0.apk2024-10-25 20:50 30K 
[   ]materia-kde-konsole-20220823-r0.apk2024-10-25 20:50 1.6K 
[   ]materia-kde-20220823-r0.apk2024-10-25 20:50 19K 
[   ]materia-gtk4-20210322-r3.apk2025-07-10 16:38 42K 
[   ]materia-gtk3-20210322-r3.apk2025-07-10 16:38 63K 
[   ]materia-gtk2-20210322-r3.apk2025-07-10 16:38 34K 
[   ]materia-gtk-theme-20210322-r3.apk2025-07-10 16:38 2.6K 
[   ]materia-gnome-shell-20210322-r3.apk2025-07-10 16:38 29K 
[   ]materia-dark-kde-yakuake-20220823-r0.apk2024-10-25 20:50 21K 
[   ]materia-dark-kde-plasma-20220823-r0.apk2024-10-25 20:50 503K 
[   ]materia-dark-kde-kvantum-20220823-r0.apk2024-10-25 20:50 30K 
[   ]materia-dark-kde-konsole-20220823-r0.apk2024-10-25 20:50 1.6K 
[   ]materia-dark-gtk4-20210322-r3.apk2025-07-10 16:38 29K 
[   ]materia-dark-gtk3-20210322-r3.apk2025-07-10 16:38 39K 
[   ]materia-dark-gtk2-20210322-r3.apk2025-07-10 16:38 34K 
[   ]materia-dark-gnome-shell-20210322-r3.apk2025-07-10 16:38 29K 
[   ]materia-dark-compact-kde-kvantum-20220823-r0.apk2024-10-25 20:50 1.2K 
[   ]materia-dark-compact-gtk4-20210322-r3.apk2025-07-10 16:38 29K 
[   ]materia-dark-compact-gtk3-20210322-r3.apk2025-07-10 16:38 39K 
[   ]materia-dark-compact-gtk2-20210322-r3.apk2025-07-10 16:38 34K 
[   ]materia-dark-compact-gnome-shell-20210322-r3.apk2025-07-10 16:38 29K 
[   ]materia-dark-compact-chromium-20210322-r3.apk2025-07-10 16:38 5.5K 
[   ]materia-dark-compact-20210322-r3.apk2025-07-10 16:38 1.4K 
[   ]materia-dark-chromium-20210322-r3.apk2025-07-10 16:38 5.4K 
[   ]materia-dark-20210322-r3.apk2025-07-10 16:38 1.4K 
[   ]materia-compact-gtk4-20210322-r3.apk2025-07-10 16:38 42K 
[   ]materia-compact-gtk3-20210322-r3.apk2025-07-10 16:38 63K 
[   ]materia-compact-gtk2-20210322-r3.apk2025-07-10 16:38 34K 
[   ]materia-compact-gnome-shell-20210322-r3.apk2025-07-10 16:38 29K 
[   ]materia-compact-chromium-20210322-r3.apk2025-07-10 16:38 5.4K 
[   ]materia-compact-20210322-r3.apk2025-07-10 16:38 1.4K 
[   ]materia-chromium-20210322-r3.apk2025-07-10 16:38 5.4K 
[   ]materia-20210322-r3.apk2025-07-10 16:38 1.4K 
[   ]mat2-pyc-0.13.5-r0.apk2025-10-03 08:44 54K 
[   ]mat2-doc-0.13.5-r0.apk2025-10-03 08:44 7.4K 
[   ]mat2-0.13.5-r0.apk2025-10-03 08:44 35K 
[   ]masky-pyc-0.2.0-r2.apk2025-05-29 12:09 63K 
[   ]masky-0.2.0-r2.apk2025-05-29 12:09 277K 
[   ]marxan-4.0.7-r1.apk2024-10-25 20:50 611K 
[   ]mapserver-dev-8.4.1-r0.apk2025-10-03 08:44 540K 
[   ]mapserver-8.4.1-r0.apk2025-10-03 08:44 1.4M 
[   ]mapnik-doc-4.0.6-r1.apk2025-06-10 10:39 141K 
[   ]mapnik-dev-4.0.6-r1.apk2025-06-10 10:39 485K 
[   ]mapnik-4.0.6-r1.apk2025-06-10 10:39 12M 
[   ]manifest-tool-2.2.0-r5.apk2025-10-08 22:26 3.8M 
[   ]mangr0ve-doc-0.1.2-r0.apk2024-10-25 20:50 14K 
[   ]mangr0ve-0.1.2-r0.apk2024-10-25 20:50 2.5K 
[   ]mangal-zsh-completion-4.0.6-r22.apk2025-10-08 22:26 3.7K 
[   ]mangal-fish-completion-4.0.6-r22.apk2025-10-08 22:26 3.7K 
[   ]mangal-bash-completion-4.0.6-r22.apk2025-10-08 22:26 4.7K 
[   ]mangal-4.0.6-r22.apk2025-10-08 22:26 10M 
[   ]mame-tools-0.251-r0.apk2024-10-25 20:50 2.9M 
[   ]mame-plugins-0.251-r0.apk2024-10-25 20:50 166K 
[   ]mame-mess-0.251-r0.apk2024-10-25 20:50 54M 
[   ]mame-lang-0.251-r0.apk2024-10-25 20:50 1.4M 
[   ]mame-doc-0.251-r0.apk2024-10-25 20:50 24K 
[   ]mame-data-0.251-r0.apk2024-10-25 20:50 19M 
[   ]mame-common-0.251-r0.apk2024-10-25 20:50 2.4K 
[   ]mame-arcade-0.251-r0.apk2024-10-25 20:50 70M 
[   ]mame-0.251-r0.apk2024-10-25 20:50 100M 
[   ]malcontent-doc-0.13.1-r0.apk2025-09-03 21:56 44K 
[   ]malcontent-dev-0.13.1-r0.apk2025-09-03 21:56 24K 
[   ]malcontent-0.13.1-r0.apk2025-09-03 21:56 163K 
[   ]makeself-2.5.0-r0.apk2024-10-25 20:50 13K 
[   ]makepp-doc-2.0.99.2-r0.apk2025-09-08 09:03 265K 
[   ]makepp-2.0.99.2-r0.apk2025-09-08 09:03 517K 
[   ]makedumpfile-openrc-1.7.7-r0.apk2025-04-22 14:33 2.7K 
[   ]makedumpfile-doc-1.7.7-r0.apk2025-04-22 14:33 23K 
[   ]makedumpfile-1.7.7-r0.apk2025-04-22 14:33 171K 
[   ]makeclapman-doc-2.4.4-r9.apk2025-10-08 22:26 3.9K 
[   ]makeclapman-2.4.4-r9.apk2025-10-08 22:26 1.3M 
[   ]mailsec-check-0_git20210729-r30.apk2025-10-08 22:26 2.5M 
[   ]maildir2rss-0.0.7-r9.apk2025-10-08 22:26 3.5M 
[   ]mage-1.13.0-r23.apk2025-05-14 17:29 1.5M 
[   ]mado-zsh-completion-0.3.0-r0.apk2025-10-03 08:44 2.3K 
[   ]mado-fish-completion-0.3.0-r0.apk2025-10-03 08:44 2.1K 
[   ]mado-doc-0.3.0-r0.apk2025-10-03 08:44 8.9K 
[   ]mado-bash-completion-0.3.0-r0.apk2025-10-03 08:44 2.0K 
[   ]mado-0.3.0-r0.apk2025-10-03 08:44 1.4M 
[   ]macchina-doc-6.4.0-r0.apk2025-06-25 03:45 5.4K 
[   ]macchina-6.4.0-r0.apk2025-06-25 03:45 1.0M 
[   ]ma1sd-openrc-2.5.0-r3.apk2024-10-25 20:50 1.7K 
[   ]ma1sd-2.5.0-r3.apk2024-10-25 20:50 38M 
[   ]m2r2-pyc-0.3.3-r3.apk2024-10-25 20:49 15K 
[   ]m2r2-0.3.3-r3.apk2024-10-25 20:49 12K 
[   ]lzfse-dev-1.0-r0.apk2024-10-25 20:49 3.4K 
[   ]lzfse-1.0-r0.apk2024-10-25 20:49 20K 
[   ]lyrics-in-terminal-1.7.0-r0.apk2025-01-03 10:10 38K 
[   ]lynis-doc-3.1.4-r0.apk2025-07-29 08:16 50K 
[   ]lynis-bash-completion-3.1.4-r0.apk2025-07-29 08:16 2.7K 
[   ]lynis-3.1.4-r0.apk2025-07-29 08:16 275K 
[   ]lychee-doc-0.19.1-r0.apk2025-06-17 08:02 13K 
[   ]lychee-0.19.1-r0.apk2025-06-17 08:02 3.0M 
[   ]lxqt-wayland-session-doc-0.2.1-r0.apk2025-07-31 21:23 29K 
[   ]lxqt-wayland-session-0.2.1-r0.apk2025-07-31 21:23 336K 
[   ]lxd-vm-5.0.3-r15.apk2025-10-08 22:26 1.1K 
[   ]lxd-scripts-5.0.3-r15.apk2025-10-08 22:26 24M 
[   ]lxd-openrc-5.0.3-r15.apk2025-10-08 22:26 2.3K 
[   ]lxd-feature-scripts-5.20-r15.apk2025-10-08 22:26 1.9K 
[   ]lxd-feature-openrc-5.20-r15.apk2025-10-08 22:26 2.2K 
[   ]lxd-feature-doc-5.20-r15.apk2025-10-08 22:26 1.4K 
[   ]lxd-feature-bash-completion-5.20-r15.apk2025-10-08 22:26 4.9K 
[   ]lxd-feature-5.20-r15.apk2025-10-08 22:26 64M 
[   ]lxd-client-5.0.3-r15.apk2025-10-08 22:26 5.9M 
[   ]lxd-bash-completion-5.0.3-r15.apk2025-10-08 22:26 4.8K 
[   ]lxd-5.0.3-r15.apk2025-10-08 22:26 14M 
[   ]lxappearance-lang-0.6.3-r3.apk2024-10-25 20:49 80K 
[   ]lxappearance-doc-0.6.3-r3.apk2024-10-25 20:49 2.4K 
[   ]lxappearance-dev-0.6.3-r3.apk2024-10-25 20:49 3.0K 
[   ]lxappearance-0.6.3-r3.apk2024-10-25 20:49 30K 
[   ]lv_font_conv-doc-1.5.3-r0.apk2025-08-19 19:54 4.7K 
[   ]lv_font_conv-1.5.3-r0.apk2025-08-19 19:54 1.1M 
[   ]lutris-pyc-0.5.19-r1.apk2025-10-03 08:44 1.1M 
[   ]lutris-lang-0.5.19-r1.apk2025-10-03 08:44 810K 
[   ]lutris-doc-0.5.19-r1.apk2025-10-03 08:44 2.1K 
[   ]lutris-0.5.19-r1.apk2025-10-03 08:44 819K 
[   ]lutgen-zsh-completion-1.0.0-r0.apk2025-07-21 20:39 1.5K 
[   ]lutgen-fish-completion-1.0.0-r0.apk2025-07-21 20:39 1.5K 
[   ]lutgen-doc-1.0.0-r0.apk2025-07-21 20:39 4.2K 
[   ]lutgen-bash-completion-1.0.0-r0.apk2025-07-21 20:39 1.5K 
[   ]lutgen-1.0.0-r0.apk2025-07-21 20:39 1.9M 
[   ]lumins-0.4.0-r2.apk2024-10-25 20:49 689K 
[   ]lumina-desktop-textedit-1.6.2-r0.apk2024-10-25 20:49 194K 
[   ]lumina-desktop-sudo-1.6.2-r0.apk2024-10-25 20:49 97K 
[   ]lumina-desktop-screenshot-1.6.2-r0.apk2024-10-25 20:49 169K 
[   ]lumina-desktop-photo-1.6.2-r0.apk2024-10-25 20:49 126K 
[   ]lumina-desktop-mediaplayer-1.6.2-r0.apk2024-10-25 20:49 200K 
[   ]lumina-desktop-fm-1.6.2-r0.apk2024-10-25 20:49 394K 
[   ]lumina-desktop-fileinfo-1.6.2-r0.apk2024-10-25 20:49 160K 
[   ]lumina-desktop-doc-1.6.2-r0.apk2024-10-25 20:49 12K 
[   ]lumina-desktop-coreutils-1.6.2-r0.apk2024-10-25 20:49 825K 
[   ]lumina-desktop-core-1.6.2-r0.apk2024-10-25 20:49 9.0M 
[   ]lumina-desktop-archiver-1.6.2-r0.apk2024-10-25 20:49 166K 
[   ]lumina-desktop-1.6.2-r0.apk2024-10-25 20:49 1.2K 
[   ]luksmeta-doc-9-r0.apk2024-10-25 20:49 5.5K 
[   ]luksmeta-dev-9-r0.apk2024-10-25 20:49 3.1K 
[   ]luksmeta-9-r0.apk2024-10-25 20:49 15K 
[   ]luapak-0.1.0_beta5-r0.apk2024-10-25 20:49 35K 
[   ]luacov-html-1.0.0-r1.apk2024-10-25 20:49 1.2K 
[   ]luacov-0.15.0-r0.apk2024-10-25 20:49 1.2K 
[   ]lua5.4-luastatic-0.0.12-r1.apk2024-10-25 20:49 9.0K 
[   ]lua5.4-luacov-0.15.0-r0.apk2024-10-25 20:49 23K 
[   ]lua5.4-linenoise-0.9-r1.apk2024-10-25 20:49 19K 
[   ]lua5.4-lanes-3.16.0-r1.apk2024-10-25 20:49 58K 
[   ]lua5.4-editorconfig-0.3.0-r0.apk2024-10-25 20:49 5.0K 
[   ]lua5.3-psl-0.3-r0.apk2024-10-25 20:49 6.7K 
[   ]lua5.3-luastatic-0.0.12-r1.apk2024-10-25 20:49 9.0K 
[   ]lua5.3-luacov-html-1.0.0-r1.apk2024-10-25 20:49 413K 
[   ]lua5.3-luacov-0.15.0-r0.apk2024-10-25 20:49 23K 
[   ]lua5.3-linenoise-0.9-r1.apk2024-10-25 20:49 19K 
[   ]lua5.3-lanes-3.16.0-r1.apk2024-10-25 20:49 58K 
[   ]lua5.3-editorconfig-0.3.0-r0.apk2024-10-25 20:49 5.0K 
[   ]lua5.2-xml-1.1.3-r2.apk2024-10-25 20:49 23K 
[   ]lua5.2-ubus-2025.10.04-r0.apk2025-10-06 21:16 9.7K 
[   ]lua5.2-psl-0.3-r0.apk2024-10-25 20:49 6.7K 
[   ]lua5.2-luastatic-0.0.12-r1.apk2024-10-25 20:49 8.9K 
[   ]lua5.2-luacov-html-1.0.0-r1.apk2024-10-25 20:49 413K 
[   ]lua5.2-luacov-0.15.0-r0.apk2024-10-25 20:49 23K 
[   ]lua5.2-linenoise-0.9-r1.apk2024-10-25 20:49 19K 
[   ]lua5.2-libmodbus-0.6.1-r0.apk2024-10-25 20:49 11K 
[   ]lua5.2-lanes-3.16.0-r1.apk2024-10-25 20:49 57K 
[   ]lua5.2-editorconfig-0.3.0-r0.apk2024-10-25 20:49 4.9K 
[   ]lua5.1-xml-1.1.3-r2.apk2024-10-25 20:49 24K 
[   ]lua5.1-ubus-2025.10.04-r0.apk2025-10-06 21:16 9.7K 
[   ]lua5.1-psl-0.3-r0.apk2024-10-25 20:49 6.8K 
[   ]lua5.1-luastatic-0.0.12-r1.apk2024-10-25 20:49 86K 
[   ]lua5.1-luacov-html-1.0.0-r1.apk2024-10-25 20:49 413K 
[   ]lua5.1-luacov-0.15.0-r0.apk2024-10-25 20:49 23K 
[   ]lua5.1-linenoise-0.9-r1.apk2024-10-25 20:49 19K 
[   ]lua5.1-libmodbus-0.6.1-r0.apk2024-10-25 20:49 11K 
[   ]lua5.1-libguestfs-1.56.1-r0.apk2025-07-22 22:10 92K 
[   ]lua5.1-lcurses-9.0.0-r0.apk2024-10-25 20:49 25K 
[   ]lua5.1-lanes-3.16.0-r1.apk2024-10-25 20:49 58K 
[   ]lua-xml-1.1.3-r2.apk2024-10-25 20:49 1.2K 
[   ]lua-resty-upload-0.11-r0.apk2024-10-25 20:49 3.4K 
[   ]lua-resty-redis-0.29-r0.apk2024-10-25 20:49 5.1K 
[   ]lua-psl-0.3-r0.apk2024-10-25 20:49 1.1K 
[   ]lua-lut-1.2.1-r0.apk2024-10-25 20:49 90K 
[   ]lua-lupa-1.0-r0.apk2024-10-25 20:49 20K 
[   ]lua-luastatic-0.0.12-r1.apk2024-10-25 20:49 1.2K 
[   ]lua-linenoise-0.9-r1.apk2024-10-25 20:49 1.2K 
[   ]lua-libmodbus-doc-0.6.1-r0.apk2024-10-25 20:49 19K 
[   ]lua-libmodbus-0.6.1-r0.apk2024-10-25 20:49 1.2K 
[   ]lua-lcurses-9.0.0-r0.apk2024-10-25 20:49 1.1K 
[   ]lua-lanes-3.16.0-r1.apk2024-10-25 20:49 1.2K 
[   ]lua-inet-0.2.0-r1.apk2024-10-25 20:49 8.9K 
[   ]lua-fn-0.1.0-r0.apk2024-10-25 20:49 3.4K 
[   ]lua-editorconfig-0.3.0-r0.apk2024-10-25 20:49 1.2K 
[   ]lsmash-dev-2.14.5-r2.apk2024-10-25 20:49 408K 
[   ]lsmash-2.14.5-r2.apk2024-10-25 20:49 295K 
[   ]lsix-1.8.2-r0.apk2024-10-25 20:49 6.3K 
[   ]lshell-pyc-0.9.18-r12.apk2025-09-06 17:17 35K 
[   ]lshell-doc-0.9.18-r12.apk2025-09-06 17:17 25K 
[   ]lshell-0.9.18-r12.apk2025-09-06 17:17 36K 
[   ]lsdvd-doc-0.17-r0.apk2024-10-25 20:49 2.2K 
[   ]lsdvd-0.17-r0.apk2024-10-25 20:49 14K 
[   ]lrcalc-libs-2.1-r1.apk2024-10-25 20:49 30K 
[   ]lrcalc-dev-2.1-r1.apk2024-10-25 20:49 11K 
[   ]lrcalc-2.1-r1.apk2024-10-25 20:49 13K 
[   ]lout-doc-3.42.2-r0.apk2024-10-25 20:49 452K 
[   ]lout-3.42.2-r0.apk2024-10-25 20:49 1.4M 
[   ]lotide-openrc-0.15.0-r0.apk2024-10-25 20:49 2.9K 
[   ]lotide-0.15.0-r0.apk2024-10-25 20:49 3.6M 
[   ]lomiri-url-dispatcher-lang-0.1.4-r0.apk2025-05-14 17:29 26K 
[   ]lomiri-url-dispatcher-dev-0.1.4-r0.apk2025-05-14 17:29 3.1K 
[   ]lomiri-url-dispatcher-0.1.4-r0.apk2025-05-14 17:29 46K 
[   ]lomiri-ui-toolkit-lang-1.3.5110-r3.apk2025-10-03 08:44 96K 
[   ]lomiri-ui-toolkit-dev-1.3.5110-r3.apk2025-10-03 08:44 168K 
[   ]lomiri-ui-toolkit-1.3.5110-r3.apk2025-10-03 08:44 1.3M 
[   ]lomiri-ui-extras-lang-0.7.0-r0.apk2025-04-11 05:48 51K 
[   ]lomiri-ui-extras-0.7.0-r0.apk2025-04-11 05:48 265K 
[   ]lomiri-trust-store-lang-2.0.2-r12.apk2025-10-03 08:44 27K 
[   ]lomiri-trust-store-dev-2.0.2-r12.apk2025-10-03 08:44 8.9K 
[   ]lomiri-trust-store-2.0.2-r12.apk2025-10-03 08:44 1.0M 
[   ]lomiri-thumbnailer-doc-3.0.4-r3.apk2025-10-03 08:44 1.3K 
[   ]lomiri-thumbnailer-dev-3.0.4-r3.apk2025-10-03 08:44 4.8K 
[   ]lomiri-thumbnailer-3.0.4-r3.apk2025-10-03 08:44 228K 
[   ]lomiri-terminal-app-lang-2.0.5-r0.apk2025-05-14 17:29 91K 
[   ]lomiri-terminal-app-doc-2.0.5-r0.apk2025-05-14 17:29 2.4K 
[   ]lomiri-terminal-app-2.0.5-r0.apk2025-05-14 17:29 64K 
[   ]lomiri-telephony-service-lang-0.6.1-r9.apk2025-10-03 08:44 107K 
[   ]lomiri-telephony-service-0.6.1-r9.apk2025-10-03 08:44 1.0M 
[   ]lomiri-system-settings-lang-1.3.2-r0.apk2025-05-14 17:29 846K 
[   ]lomiri-system-settings-1.3.2-r0.apk2025-05-14 17:29 1.1M 
[   ]lomiri-sounds-25.01-r0.apk2025-08-19 20:13 18M 
[   ]lomiri-settings-components-lang-1.1.2-r0.apk2025-01-10 10:22 98K 
[   ]lomiri-settings-components-1.1.2-r0.apk2025-01-10 10:22 222K 
[   ]lomiri-schemas-0.1.9-r0.apk2025-10-03 08:44 10K 
[   ]lomiri-notifications-1.3.1-r0.apk2025-01-10 10:22 99K 
[   ]lomiri-location-service-lang-3.3.0-r4.apk2025-06-26 14:11 26K 
[   ]lomiri-location-service-doc-3.3.0-r4.apk2025-06-26 14:11 2.6K 
[   ]lomiri-location-service-dev-3.3.0-r4.apk2025-06-26 14:11 30K 
[   ]lomiri-location-service-3.3.0-r4.apk2025-06-26 14:11 2.2M 
[   ]lomiri-libusermetrics-lang-1.3.3-r1.apk2025-02-18 22:21 43K 
[   ]lomiri-libusermetrics-doc-1.3.3-r1.apk2025-02-18 22:21 227K 
[   ]lomiri-libusermetrics-dev-1.3.3-r1.apk2025-02-18 22:21 7.5K 
[   ]lomiri-libusermetrics-1.3.3-r1.apk2025-02-18 22:21 181K 
[   ]lomiri-lang-0.5.0-r2.apk2025-10-03 08:44 269K 
[   ]lomiri-indicator-network-lang-1.1.2-r0.apk2025-09-08 01:01 199K 
[   ]lomiri-indicator-network-doc-1.1.2-r0.apk2025-09-08 01:01 1.8K 
[   ]lomiri-indicator-network-dev-1.1.2-r0.apk2025-09-08 01:01 9.3K 
[   ]lomiri-indicator-network-1.1.2-r0.apk2025-09-08 01:01 631K 
[   ]lomiri-indicator-location-lang-25.4.22-r0.apk2025-05-19 12:46 27K 
[   ]lomiri-indicator-location-25.4.22-r0.apk2025-05-19 12:46 30K 
[   ]lomiri-history-service-dev-0.6-r14.apk2025-10-03 08:44 11K 
[   ]lomiri-history-service-0.6-r14.apk2025-10-03 08:44 369K 
[   ]lomiri-gallery-app-lang-3.0.2-r2.apk2025-08-19 11:02 106K 
[   ]lomiri-gallery-app-3.0.2-r2.apk2025-08-19 11:02 3.7M 
[   ]lomiri-filemanager-app-lang-1.1.4-r0.apk2025-08-19 20:13 179K 
[   ]lomiri-filemanager-app-1.1.4-r0.apk2025-08-19 20:13 345K 
[   ]lomiri-download-manager-lang-0.2.2-r0.apk2025-10-03 08:44 29K 
[   ]lomiri-download-manager-doc-0.2.2-r0.apk2025-10-03 08:44 3.4M 
[   ]lomiri-download-manager-dev-0.2.2-r0.apk2025-10-03 08:44 17K 
[   ]lomiri-download-manager-0.2.2-r0.apk2025-10-03 08:44 625K 
[   ]lomiri-content-hub-lang-2.1.0-r0.apk2025-04-13 22:15 46K 
[   ]lomiri-content-hub-doc-2.1.0-r0.apk2025-04-13 22:15 1.4M 
[   ]lomiri-content-hub-dev-2.1.0-r0.apk2025-04-13 22:15 11K 
[   ]lomiri-content-hub-2.1.0-r0.apk2025-04-13 22:15 288K 
[   ]lomiri-clock-app-lang-4.1.1-r0.apk2025-05-14 17:29 455K 
[   ]lomiri-clock-app-4.1.1-r0.apk2025-05-14 17:29 225K 
[   ]lomiri-calculator-app-lang-4.1.0-r0.apk2025-08-19 20:13 41K 
[   ]lomiri-calculator-app-4.1.0-r0.apk2025-08-19 20:13 382K 
[   ]lomiri-app-launch-dev-0.1.12-r3.apk2025-10-03 08:44 20K 
[   ]lomiri-app-launch-0.1.12-r3.apk2025-10-03 08:44 369K 
[   ]lomiri-api-dev-0.2.2-r1.apk2025-02-18 22:21 32K 
[   ]lomiri-api-0.2.2-r1.apk2025-02-18 22:21 34K 
[   ]lomiri-action-api-dev-1.2.0-r0.apk2025-04-11 05:48 4.7K 
[   ]lomiri-action-api-1.2.0-r0.apk2025-04-11 05:48 84K 
[   ]lomiri-0.5.0-r2.apk2025-10-03 08:44 4.0M 
[   ]lolcat-1.4-r0.apk2024-10-25 20:49 11K 
[   ]lol-html-dev-1.1.1-r1.apk2024-10-25 20:49 6.3K 
[   ]lol-html-1.1.1-r1.apk2024-10-25 20:49 472K 
[   ]logwatch-doc-7.10-r1.apk2024-10-25 20:49 38K 
[   ]logwatch-7.10-r1.apk2024-10-25 20:49 483K 
[   ]logtop-libs-0.7-r1.apk2025-08-09 12:15 17K 
[   ]logtop-doc-0.7-r1.apk2025-08-09 12:15 2.6K 
[   ]logtop-0.7-r1.apk2025-08-09 12:15 15K 
[   ]logc-libs-dev-0.1.0-r0.apk2024-10-25 20:49 5.6K 
[   ]logc-libs-0.1.0-r0.apk2024-10-25 20:49 1.2K 
[   ]logc-libevent-0.1.0-r0.apk2024-10-25 20:49 3.4K 
[   ]logc-dev-0.5.0-r1.apk2025-06-13 14:15 8.3K 
[   ]logc-czmq-0.1.0-r0.apk2024-10-25 20:49 4.1K 
[   ]logc-config-0.5.0-r1.apk2025-06-13 14:15 5.2K 
[   ]logc-argp-0.5.0-r1.apk2025-06-13 14:15 17K 
[   ]logc-0.5.0-r1.apk2025-06-13 14:15 8.7K 
[   ]log4cxx-dev-1.1.0-r3.apk2025-02-18 22:21 135K 
[   ]log4cxx-1.1.0-r3.apk2025-02-18 22:21 540K 
[   ]log4cpp-dev-1.1.4-r1.apk2024-10-25 20:49 39K 
[   ]log4cpp-1.1.4-r1.apk2024-10-25 20:49 72K 
[   ]lockrun-1.1.3-r1.apk2024-10-25 20:49 5.4K 
[   ]llvm-next-test-utils-22.0.0_pre20250926-r0.apk2025-10-03 08:44 786K 
[   ]llvm-next-static-22.0.0_pre20250926-r0.apk2025-10-03 08:44 67M 
[   ]llvm-next-runtime-22.0.0_pre20250926-r0.apk2025-10-03 08:44 534K 
[   ]llvm-next-polly-22.0.0_pre20250926-r0.apk2025-10-03 08:44 2.6M 
[   ]llvm-next-openmp-dev-22.0.0_pre20250926-r0.apk2025-10-03 08:44 134K 
[   ]llvm-next-openmp-22.0.0_pre20250926-r0.apk2025-10-03 08:44 626K 
[   ]llvm-next-offload-dev-22.0.0_pre20250926-r0.apk2025-10-03 08:44 418K 
[   ]llvm-next-offload-22.0.0_pre20250926-r0.apk2025-10-03 08:44 262K 
[   ]llvm-next-lit-pyc-22.0.0_pre20250926-r0.apk2025-10-03 08:44 162K 
[   ]llvm-next-lit-22.0.0_pre20250926-r0.apk2025-10-03 08:44 96K 
[   ]llvm-next-linker-tools-22.0.0_pre20250926-r0.apk2025-10-03 08:44 1.5M 
[   ]llvm-next-libunwind-static-22.0.0_pre20250926-r0.apk2025-10-03 08:44 26K 
[   ]llvm-next-libunwind-dev-22.0.0_pre20250926-r0.apk2025-10-03 08:44 20K 
[   ]llvm-next-libunwind-22.0.0_pre20250926-r0.apk2025-10-03 08:44 19K 
[   ]llvm-next-libs-22.0.0_pre20250926-r0.apk2025-10-03 08:44 35M 
[   ]llvm-next-libgcc-dev-22.0.0_pre20250926-r0.apk2025-10-03 08:44 1.7K 
[   ]llvm-next-libgcc-22.0.0_pre20250926-r0.apk2025-10-03 08:44 45K 
[   ]llvm-next-libc++-static-22.0.0_pre20250926-r0.apk2025-10-03 08:44 706K 
[   ]llvm-next-libc++-dev-22.0.0_pre20250926-r0.apk2025-10-03 08:44 1.8M 
[   ]llvm-next-libc++-22.0.0_pre20250926-r0.apk2025-10-03 08:44 390K 
[   ]llvm-next-gtest-22.0.0_pre20250926-r0.apk2025-10-03 08:44 466K 
[   ]llvm-next-dev-22.0.0_pre20250926-r0.apk2025-10-03 08:44 9.5M 
[   ]llvm-next-22.0.0_pre20250926-r0.apk2025-10-03 08:44 25M 
[   ]llmnrd-openrc-0.7-r1.apk2024-10-25 20:49 1.6K 
[   ]llmnrd-doc-0.7-r1.apk2024-10-25 20:49 2.8K 
[   ]llmnrd-0.7-r1.apk2024-10-25 20:49 18K 
[   ]lldb-next-pyc-22.0.0_pre20250926-r0.apk2025-10-03 08:44 311K 
[   ]lldb-next-dev-22.0.0_pre20250926-r0.apk2025-10-03 08:44 789K 
[   ]lldb-next-22.0.0_pre20250926-r0.apk2025-10-03 08:44 8.1M 
[   ]lld-next-libs-22.0.0_pre20250926-r0.apk2025-10-03 08:44 2.1M 
[   ]lld-next-dev-22.0.0_pre20250926-r0.apk2025-10-03 08:44 18K 
[   ]lld-next-22.0.0_pre20250926-r0.apk2025-10-03 08:44 8.7K 
[   ]lizardfs-metalogger-openrc-3.13.0-r17.apk2025-06-19 08:53 1.4K 
[   ]lizardfs-metalogger-3.13.0-r17.apk2025-06-19 08:53 129K 
[   ]lizardfs-master-openrc-3.13.0-r17.apk2025-06-19 08:53 1.4K 
[   ]lizardfs-master-3.13.0-r17.apk2025-06-19 08:53 846K 
[   ]lizardfs-doc-3.13.0-r17.apk2025-06-19 08:53 11K 
[   ]lizardfs-client-3.13.0-r17.apk2025-06-19 08:53 1.1M 
[   ]lizardfs-chunkserver-openrc-3.13.0-r17.apk2025-06-19 08:53 1.4K 
[   ]lizardfs-chunkserver-3.13.0-r17.apk2025-06-19 08:53 312K 
[   ]lizardfs-cgiserv-openrc-3.13.0-r17.apk2025-06-19 08:53 1.7K 
[   ]lizardfs-cgiserv-3.13.0-r17.apk2025-06-19 08:53 7.1K 
[   ]lizardfs-cgi-3.13.0-r17.apk2025-06-19 08:53 31K 
[   ]lizardfs-bash-completion-3.13.0-r17.apk2025-06-19 08:53 1.6K 
[   ]lizardfs-3.13.0-r17.apk2025-06-19 08:53 115K 
[   ]litterbox-doc-1.9-r2.apk2025-09-12 22:24 6.9K 
[   ]litterbox-1.9-r2.apk2025-09-12 22:24 35K 
[   ]litehtml-static-0.9-r2.apk2025-02-18 22:21 561K 
[   ]litehtml-dev-0.9-r2.apk2025-02-18 22:21 41K 
[   ]litehtml-0.9-r2.apk2025-02-18 22:21 352K 
[   ]liquibase-doc-4.9.1-r0.apk2024-10-25 20:49 57K 
[   ]liquibase-4.9.1-r0.apk2024-10-25 20:49 32M 
[   ]linuxptp-tz2alt-4.4-r0.apk2024-11-17 17:33 20K 
[   ]linuxptp-ts2phc-4.4-r0.apk2024-11-17 17:33 37K 
[   ]linuxptp-timemaster-4.4-r0.apk2024-11-17 17:33 17K 
[   ]linuxptp-ptp4l-4.4-r0.apk2024-11-17 17:33 79K 
[   ]linuxptp-pmc-4.4-r0.apk2024-11-17 17:33 36K 
[   ]linuxptp-phc_ctl-4.4-r0.apk2024-11-17 17:33 10K 
[   ]linuxptp-phc2sys-4.4-r0.apk2024-11-17 17:33 38K 
[   ]linuxptp-nsm-4.4-r0.apk2024-11-17 17:33 32K 
[   ]linuxptp-hwstamp_ctl-4.4-r0.apk2024-11-17 17:33 4.5K 
[   ]linuxptp-doc-4.4-r0.apk2024-11-17 17:33 38K 
[   ]linuxptp-4.4-r0.apk2024-11-17 17:33 1.0K 
[   ]linuxkit-doc-1.6.0-r4.apk2025-10-08 22:26 10K 
[   ]linuxkit-1.6.0-r4.apk2025-10-08 22:26 13M 
[   ]linux-timemachine-1.3.2-r0.apk2024-10-25 20:49 4.8K 
[   ]linux-apfs-rw-src-0.3.8-r0.apk2024-10-25 20:49 197K 
[   ]linphone-libs-5.3.38-r0.apk2024-10-25 20:49 3.1M 
[   ]linphone-dev-5.3.38-r0.apk2024-10-25 20:49 249K 
[   ]linphone-5.3.38-r0.apk2024-10-25 20:49 9.0M 
[   ]linkquisition-1.6.1-r9.apk2025-10-08 22:26 12M 
[   ]linkchecker-pyc-10.6.0-r0.apk2025-08-10 11:40 253K 
[   ]linkchecker-doc-10.6.0-r0.apk2025-08-10 11:40 39K 
[   ]linkchecker-10.6.0-r0.apk2025-08-10 11:40 181K 
[   ]limnoria-pyc-20240828-r0.apk2024-10-25 20:49 1.2M 
[   ]limnoria-doc-20240828-r0.apk2024-10-25 20:49 8.1K 
[   ]limnoria-20240828-r0.apk2024-10-25 20:49 1.1M 
[   ]licenseheaders-pyc-0.8.8-r4.apk2024-10-25 20:49 18K 
[   ]licenseheaders-0.8.8-r4.apk2024-10-25 20:49 18K 
[   ]libzvbi-static-0.2.44-r0.apk2025-03-11 21:05 304K 
[   ]libzvbi-dev-0.2.44-r0.apk2025-03-11 21:05 14K 
[   ]libzvbi-0.2.44-r0.apk2025-03-11 21:05 226K 
[   ]libzrtpcpp-dev-4.7.0-r0.apk2025-01-04 21:55 38K 
[   ]libzrtpcpp-4.7.0-r0.apk2025-01-04 21:55 162K 
[   ]libzn_poly-static-0.9.2-r2.apk2024-10-25 20:49 54K 
[   ]libzn_poly-dev-0.9.2-r2.apk2024-10-25 20:49 7.9K 
[   ]libzn_poly-0.9.2-r2.apk2024-10-25 20:49 50K 
[   ]libxo-doc-1.7.5-r0.apk2025-01-12 22:46 63K 
[   ]libxo-dev-1.7.5-r0.apk2025-01-12 22:46 78K 
[   ]libxo-1.7.5-r0.apk2025-01-12 22:46 185K 
[   ]libxml++-dev-5.4.0-r0.apk2025-02-13 07:48 29K 
[   ]libxml++-5.4.0-r0.apk2025-02-13 07:48 67K 
[   ]libwmiclient-dev-1.3.16-r5.apk2024-10-25 20:49 1.4K 
[   ]libwmiclient-1.3.16-r5.apk2024-10-25 20:49 1.2K 
[   ]libwhich-1.2.0-r0.apk2024-10-25 20:49 4.4K 
[   ]libwbxml-doc-0.11.8-r0.apk2024-10-25 20:49 28K 
[   ]libwbxml-dev-0.11.8-r0.apk2024-10-25 20:49 9.0K 
[   ]libwbxml-0.11.8-r0.apk2024-10-25 20:49 80K 
[   ]libwasmtime-static-34.0.1-r0.apk2025-07-21 02:35 4.8M 
[   ]libwasmtime-34.0.1-r0.apk2025-07-21 02:35 3.0M 
[   ]libvoikko-doc-4.3.2-r1.apk2024-10-25 20:49 5.5K 
[   ]libvoikko-dev-4.3.2-r1.apk2024-10-25 20:49 9.7K 
[   ]libvoikko-4.3.2-r1.apk2024-10-25 20:49 136K 
[   ]libvmaf-dev-3.0.0-r0.apk2024-10-25 20:49 199K 
[   ]libvmaf-3.0.0-r0.apk2024-10-25 20:49 334K 
[   ]libvisio2svg-utils-0.5.5-r3.apk2024-10-25 20:49 127K 
[   ]libvisio2svg-dev-0.5.5-r3.apk2024-10-25 20:49 2.7K 
[   ]libvisio2svg-0.5.5-r3.apk2024-10-25 20:49 16K 
[   ]libvdpau-va-gl-0.4.2-r0.apk2024-10-25 20:49 60K 
[   ]libupstart-2.0.3-r5.apk2024-10-25 20:49 52K 
[   ]libuninameslist-doc-20230916-r0.apk2024-10-25 20:49 1.8K 
[   ]libuninameslist-dev-20230916-r0.apk2024-10-25 20:49 3.2K 
[   ]libuninameslist-20230916-r0.apk2024-10-25 20:49 376K 
[   ]libuecc-dev-7-r4.apk2025-03-03 16:06 4.4K 
[   ]libuecc-7-r4.apk2025-03-03 16:06 9.9K 
[   ]libucl-doc-0.9.0-r0.apk2024-10-25 20:49 8.6K 
[   ]libucl-dev-0.9.0-r0.apk2024-10-25 20:49 87K 
[   ]libucl-0.9.0-r0.apk2024-10-25 20:49 56K 
[   ]libtsm-dev-4.1.0-r0.apk2025-07-08 06:04 11K 
[   ]libtsm-4.1.0-r0.apk2025-07-08 06:04 27K 
[   ]libtins-doc-4.5-r1.apk2024-10-25 20:49 2.1K 
[   ]libtins-dev-4.5-r1.apk2024-10-25 20:49 141K 
[   ]libtins-4.5-r1.apk2024-10-25 20:49 321K 
[   ]libtcmu-dev-1.6.0-r6.apk2024-10-25 20:49 1.3K 
[   ]libtcmu-1.6.0-r6.apk2024-10-25 20:49 38K 
[   ]libtatsu-dev-1.0.5-r0.apk2025-10-06 17:41 22K 
[   ]libtatsu-1.0.5-r0.apk2025-10-06 17:41 17K 
[   ]libsymmetrica-static-3.0.1-r2.apk2024-10-25 20:49 5.2M 
[   ]libsymmetrica-dev-3.0.1-r2.apk2024-10-25 20:49 32K 
[   ]libsymmetrica-3.0.1-r2.apk2024-10-25 20:49 4.1M 
[   ]libstirshaken-tools-0_git20240208-r4.apk2025-04-28 19:57 170K 
[   ]libstirshaken-dev-0_git20240208-r4.apk2025-04-28 19:57 83K 
[   ]libstirshaken-0_git20240208-r4.apk2025-04-28 19:57 53K 
[   ]libspatialindex-dev-2.1.0-r0.apk2025-05-31 10:12 21K 
[   ]libspatialindex-2.1.0-r0.apk2025-05-31 10:12 306K 
[   ]libsirocco-dev-2.1.1-r0.apk2025-08-31 17:49 1.9K 
[   ]libsirocco-2.1.1-r0.apk2025-08-31 17:49 64K 
[   ]libsimplebluez-0.10.3-r0.apk2025-07-11 02:59 142K 
[   ]libsimpleble-c-0.10.3-r0.apk2025-07-11 02:59 16K 
[   ]libsimpleble-0.10.3-r0.apk2025-07-11 02:59 198K 
[   ]libsigrokdecode-dev-0.5.3-r4.apk2024-10-25 20:49 41K 
[   ]libsigrokdecode-0.5.3-r4.apk2024-10-25 20:49 336K 
[   ]libsigrok-dev-0.5.2-r3.apk2024-10-25 20:49 30K 
[   ]libsigrok-0.5.2-r3.apk2024-10-25 20:49 498K 
[   ]libshadowsocks-libev-3.3.5-r4.apk2024-10-25 20:49 48K 
[   ]libserialport-dev-0.1.1-r1.apk2024-10-25 20:49 40K 
[   ]libserialport-0.1.1-r1.apk2024-10-25 20:49 20K 
[   ]libsemigroups-static-2.7.3-r1.apk2024-12-14 21:36 1.7M 
[   ]libsemigroups-dev-2.7.3-r1.apk2024-12-14 21:36 334K 
[   ]libsemigroups-2.7.3-r1.apk2024-12-14 21:36 764K 
[   ]libsemanage-doc-3.6-r1.apk2024-10-25 20:49 23K 
[   ]libsemanage-dev-3.6-r1.apk2024-10-25 20:49 158K 
[   ]libsemanage-3.6-r1.apk2024-10-25 20:49 97K 
[   ]libsds-dev-2.0.0-r1.apk2024-10-25 20:49 3.8K 
[   ]libsds-2.0.0-r1.apk2024-10-25 20:49 10K 
[   ]libsbsms-dev-2.3.0-r0.apk2024-10-25 20:49 134K 
[   ]libsbsms-2.3.0-r0.apk2024-10-25 20:49 109K 
[   ]libretro-xrick-0_git20220331-r0.apk2024-10-25 20:49 132K 
[   ]libretro-tyrquake-0_git20220409-r0.apk2024-10-25 20:49 468K 
[   ]libretro-theodore-3.1-r0.apk2024-10-25 20:49 874K 
[   ]libretro-snes9x-0_git20240819-r0.apk2024-10-25 20:49 722K 
[   ]libretro-scummvm-0_git20210325-r0.apk2024-10-25 20:49 22M 
[   ]libretro-ppsspp-0_git20210516-r15.apk2025-04-10 20:33 2.2M 
[   ]libretro-pocketcdg-0_git20220327-r0.apk2024-10-25 20:49 95K 
[   ]libretro-pcsx-rearmed-0_git20220409-r0.apk2024-10-25 20:49 575K 
[   ]libretro-opera-0_git20211214-r0.apk2024-10-25 20:49 201K 
[   ]libretro-openlara-0_git20210121-r0.apk2024-10-25 20:49 592K 
[   ]libretro-nxengine-0_git20220301-r0.apk2024-10-25 20:49 353K 
[   ]libretro-neocd-0_git20220325-r1.apk2024-10-25 20:49 498K 
[   ]libretro-mu-0_git20220317-r0.apk2024-10-25 20:49 199K 
[   ]libretro-mame2003-0_git20240904-r0.apk2024-10-25 20:49 7.1M 
[   ]libretro-mame2000-0_git20240701-r0.apk2024-10-25 20:49 2.9M 
[   ]libretro-gw-0_git20220410-r0.apk2024-10-25 20:49 218K 
[   ]libretro-gong-0_git20220319-r0.apk2024-10-25 20:49 9.0K 
[   ]libretro-genesis-plus-gx-0_git20230503-r0.apk2024-10-25 20:49 767K 
[   ]libretro-fuse-0_git20220417-r0.apk2024-10-25 20:49 1.0M 
[   ]libretro-frodo-0_git20221221-r0.apk2024-10-25 20:49 171K 
[   ]libretro-freeintv-0_git20220319-r0.apk2024-10-25 20:49 40K 
[   ]libretro-fbneo-0_git20220416-r0.apk2024-10-25 20:49 13M 
[   ]libretro-dinothawr-0_git20220401-r0.apk2024-10-25 20:49 150K 
[   ]libretro-daphne-0_git20210108-r2.apk2024-10-25 20:49 623K 
[   ]libretro-crocods-0_git20210314-r1.apk2024-10-25 20:49 297K 
[   ]libretro-cap32-0_git20220419-r0.apk2024-10-25 20:49 314K 
[   ]libretro-cannonball-0_git20220309-r6.apk2024-10-25 20:49 285K 
[   ]libretro-bluemsx-0_git20240808-r0.apk2024-10-25 20:49 712K 
[   ]libretro-blastem-0_git20210810-r0.apk2024-10-25 20:49 277K 
[   ]libretro-beetle-supergrafx-0_git20220218-r0.apk2024-10-25 20:49 504K 
[   ]libretro-beetle-saturn-0_git20220417-r0.apk2024-10-25 20:49 1.7M 
[   ]libretro-beetle-pcfx-0_git20220409-r0.apk2024-10-25 20:49 366K 
[   ]libretro-beetle-pce-fast-0_git20220205-r0.apk2024-10-25 20:49 494K 
[   ]libretro-atari800-0_git20240924-r0.apk2024-10-25 20:49 315K 
[   ]libresprite-doc-1.2-r0.apk2025-04-13 22:18 14K 
[   ]libresprite-1.2-r0.apk2025-04-13 22:18 15M 
[   ]librespot-openrc-0.7.1-r0.apk2025-09-03 21:56 1.6K 
[   ]librespot-0.7.1-r0.apk2025-09-03 21:56 2.4M 
[   ]libreoffice-voikko-5.0_git20200127-r0.apk2024-10-25 20:49 45K 
[   ]libre-dev-4.1.0-r0.apk2025-10-03 08:44 509K 
[   ]libre-4.1.0-r0.apk2025-10-03 08:44 302K 
[   ]libqtdbustest-0.4.0-r0.apk2025-09-03 21:56 34K 
[   ]libqtdbusmock-0.9.1-r2.apk2025-02-18 22:21 72K 
[   ]libqofono-qt6-0.124-r0.apk2025-01-10 12:05 449K 
[   ]libqofono-qt5-0.124-r0.apk2025-01-10 12:05 295K 
[   ]libqofono-dev-0.124-r0.apk2025-01-10 12:05 42K 
[   ]libqofono-0.124-r0.apk2025-01-10 12:05 1.0K 
[   ]liboggz-doc-1.1.1-r2.apk2024-10-25 20:49 134K 
[   ]liboggz-dev-1.1.1-r2.apk2024-10-25 20:49 170K 
[   ]liboggz-1.1.1-r2.apk2024-10-25 20:49 129K 
[   ]libofx-tools-0.10.9-r1.apk2024-10-25 20:49 105K 
[   ]libofx-dev-0.10.9-r1.apk2024-10-25 20:49 19K 
[   ]libofx-0.10.9-r1.apk2024-10-25 20:49 63K 
[   ]libnxml-dev-0.18.3-r0.apk2024-10-25 20:49 29K 
[   ]libnxml-0.18.3-r0.apk2024-10-25 20:49 21K 
[   ]libntl-static-11.5.1-r4.apk2024-10-25 20:49 1.9M 
[   ]libntl-doc-11.5.1-r4.apk2024-10-25 20:49 373K 
[   ]libntl-dev-11.5.1-r4.apk2024-10-25 20:49 159K 
[   ]libntl-11.5.1-r4.apk2024-10-25 20:49 1.3M 
[   ]libnih-doc-1.0.3-r7.apk2024-10-25 20:49 2.4K 
[   ]libnih-dev-1.0.3-r7.apk2024-10-25 20:49 123K 
[   ]libnih-1.0.3-r7.apk2024-10-25 20:49 110K 
[   ]libnfcdef-dev-1.0.1-r1.apk2025-08-09 12:15 5.5K 
[   ]libnfcdef-1.0.1-r1.apk2025-08-09 12:15 15K 
[   ]libnest2d-dev-0.4-r7.apk2025-02-06 04:46 70K 
[   ]libnest2d-0.4-r7.apk2025-02-06 04:46 1.0K 
[   ]libneo4j-client-dev-2.2.0-r3.apk2024-10-25 20:49 123K 
[   ]libneo4j-client-2.2.0-r3.apk2024-10-25 20:49 73K 
[   ]libmysofa-tools-1.3.2-r0.apk2024-10-25 20:49 1.1M 
[   ]libmysofa-dev-1.3.2-r0.apk2024-10-25 20:49 6.8K 
[   ]libmysofa-1.3.2-r0.apk2024-10-25 20:49 27K 
[   ]libmygpo-qt-dev-1.1.0-r2.apk2024-10-25 20:49 12K 
[   ]libmygpo-qt-1.1.0-r2.apk2024-10-25 20:49 90K 
[   ]libmustache-0.5.0-r1.apk2024-10-25 20:49 86K 
[   ]libmrss-dev-0.19.2-r1.apk2024-10-25 20:49 32K 
[   ]libmrss-0.19.2-r1.apk2024-10-25 20:49 20K 
[   ]libmpfi-static-1.5.4-r2.apk2024-10-25 20:49 57K 
[   ]libmpfi-doc-1.5.4-r2.apk2024-10-25 20:49 18K 
[   ]libmpfi-dev-1.5.4-r2.apk2024-10-25 20:49 5.1K 
[   ]libmpfi-1.5.4-r2.apk2024-10-25 20:49 40K 
[   ]libmhash-doc-0.9.9.9-r3.apk2024-10-25 20:49 8.2K 
[   ]libmhash-dev-0.9.9.9-r3.apk2024-10-25 20:49 113K 
[   ]libmhash-0.9.9.9-r3.apk2024-10-25 20:49 99K 
[   ]libmdbx-doc-0.11.8-r0.apk2024-10-25 20:49 8.9K 
[   ]libmdbx-dev-0.11.8-r0.apk2024-10-25 20:49 93K 
[   ]libmdbx-dbg-0.11.8-r0.apk2024-10-25 20:49 2.4M 
[   ]libmdbx-0.11.8-r0.apk2024-10-25 20:49 826K 
[   ]libm4rie-static-20200125-r5.apk2025-01-15 18:08 221K 
[   ]libm4rie-dev-20200125-r5.apk2025-01-15 18:08 24K 
[   ]libm4rie-20200125-r5.apk2025-01-15 18:08 198K 
[   ]libm4ri-static-20240729-r2.apk2025-01-15 18:08 159K 
[   ]libm4ri-dev-20240729-r2.apk2025-01-15 18:08 31K 
[   ]libm4ri-20240729-r2.apk2025-01-15 18:08 144K 
[   ]liblastfm-qt-dev-1.1.10_git20190823-r3.apk2024-10-25 20:49 19K 
[   ]liblastfm-qt-1.1.10_git20190823-r3.apk2024-10-25 20:49 169K 
[   ]libjodycode-doc-3.1.1-r0.apk2024-10-25 20:49 3.4K 
[   ]libjodycode-dev-3.1.1-r0.apk2024-10-25 20:49 4.0K 
[   ]libjodycode-3.1.1-r0.apk2024-10-25 20:49 8.0K 
[   ]libiscsi-utils-1.19.0-r2.apk2024-10-25 20:49 95K 
[   ]libiscsi-static-1.19.0-r2.apk2024-10-25 20:49 78K 
[   ]libiscsi-doc-1.19.0-r2.apk2024-10-25 20:49 9.0K 
[   ]libiscsi-dev-1.19.0-r2.apk2024-10-25 20:49 20K 
[   ]libiscsi-1.19.0-r2.apk2024-10-25 20:49 62K 
[   ]libirecovery-progs-1.3.0-r0.apk2025-10-06 17:41 8.6K 
[   ]libirecovery-dev-1.3.0-r0.apk2025-10-06 17:41 3.9K 
[   ]libirecovery-1.3.0-r0.apk2025-10-06 17:41 25K 
[   ]libinfnoise-0.3.3-r0.apk2025-05-26 17:46 14K 
[   ]libiml-static-1.0.5-r3.apk2024-10-25 20:49 85K 
[   ]libiml-dev-1.0.5-r3.apk2024-10-25 20:49 3.7K 
[   ]libiml-1.0.5-r3.apk2024-10-25 20:49 83K 
[   ]libiio-tools-0.25-r2.apk2024-10-25 20:49 100K 
[   ]libiio-pyc-0.25-r2.apk2024-10-25 20:49 21K 
[   ]libiio-doc-0.25-r2.apk2024-10-25 20:49 18K 
[   ]libiio-dev-0.25-r2.apk2024-10-25 20:49 13K 
[   ]libiio-0.25-r2.apk2024-10-25 20:49 55K 
[   ]libigraph-dev-0.10.16-r0.apk2025-06-11 20:20 91K 
[   ]libigraph-0.10.16-r0.apk2025-06-11 20:20 1.4M 
[   ]libideviceactivation-doc-1.1.1-r5.apk2024-10-30 22:46 1.9K 
[   ]libideviceactivation-dev-1.1.1-r5.apk2024-10-30 22:46 3.0K 
[   ]libideviceactivation-1.1.1-r5.apk2024-10-30 22:46 19K 
[   ]libibumad-doc-1.3.10.2-r3.apk2024-10-25 20:49 23K 
[   ]libibumad-dev-1.3.10.2-r3.apk2024-10-25 20:49 7.3K 
[   ]libibumad-1.3.10.2-r3.apk2024-10-25 20:49 15K 
[   ]libibmad-dev-1.3.13-r2.apk2024-10-25 20:49 13K 
[   ]libibmad-1.3.13-r2.apk2024-10-25 20:49 32K 
[   ]libhwpwm-doc-0.4.4-r0.apk2024-10-25 20:49 13K 
[   ]libhwpwm-dev-0.4.4-r0.apk2024-10-25 20:49 5.8K 
[   ]libhwpwm-0.4.4-r0.apk2024-10-25 20:49 5.7K 
[   ]libhomfly-dev-1.02_p6-r1.apk2024-10-25 20:49 23K 
[   ]libhomfly-1.02_p6-r1.apk2024-10-25 20:49 19K 
[   ]libguestfs-static-1.56.1-r0.apk2025-07-22 22:10 509K 
[   ]libguestfs-doc-1.56.1-r0.apk2025-07-22 22:10 568K 
[   ]libguestfs-dev-1.56.1-r0.apk2025-07-22 22:10 29K 
[   ]libguestfs-1.56.1-r0.apk2025-07-22 22:10 304K 
[   ]libgrapheme-doc-2.0.2-r0.apk2025-07-22 20:52 20K 
[   ]libgrapheme-dev-2.0.2-r0.apk2025-07-22 20:52 33K 
[   ]libgrapheme-2.0.2-r0.apk2025-07-22 20:52 26K 
[   ]libglib-testing-doc-0.1.1-r0.apk2025-05-08 12:40 25K 
[   ]libglib-testing-dev-0.1.1-r0.apk2025-05-08 12:40 5.3K 
[   ]libglib-testing-0.1.1-r0.apk2025-05-08 12:40 13K 
[   ]libgivaro-static-4.2.0-r2.apk2024-10-25 20:49 99K 
[   ]libgivaro-dev-4.2.0-r2.apk2024-10-25 20:49 244K 
[   ]libgivaro-4.2.0-r2.apk2024-10-25 20:49 79K 
[   ]libgdcm-3.2.1-r0.apk2025-10-03 08:44 2.6M 
[   ]libfort-dev-0.4.2-r0.apk2024-10-25 20:49 17K 
[   ]libfort-0.4.2-r0.apk2024-10-25 20:49 32K 
[   ]libfoma-0.10.0_git20240712-r0.apk2024-10-25 20:49 110K 
[   ]libfishsound-doc-1.0.0-r1.apk2024-10-25 20:49 75K 
[   ]libfishsound-dev-1.0.0-r1.apk2024-10-25 20:49 57K 
[   ]libfishsound-1.0.0-r1.apk2024-10-25 20:49 11K 
[   ]libettercap-0.8.3.1-r3.apk2024-10-25 20:49 199K 
[   ]libetebase-dev-0.5.8-r0.apk2025-10-03 08:44 11K 
[   ]libetebase-0.5.8-r0.apk2025-10-03 08:44 851K 
[   ]liberasurecode-dev-1.6.3-r1.apk2024-10-25 20:49 18K 
[   ]liberasurecode-1.6.3-r1.apk2024-10-25 20:49 45K 
[   ]libemf2svg-utils-1.1.0-r3.apk2025-10-06 11:33 19K 
[   ]libemf2svg-1.1.0-r3.apk2025-10-06 11:33 162K 
[   ]libecap-static-1.0.1-r1.apk2024-10-25 20:49 18K 
[   ]libecap-dev-1.0.1-r1.apk2024-10-25 20:49 11K 
[   ]libecap-1.0.1-r1.apk2024-10-25 20:49 13K 
[   ]libeantic-dev-2.1.0-r1.apk2025-06-13 05:00 17K 
[   ]libeantic-2.1.0-r1.apk2025-06-13 05:00 79K 
[   ]libdng-utils-0.2.1-r0.apk2024-12-27 22:17 6.2K 
[   ]libdng-doc-0.2.1-r0.apk2024-12-27 22:17 3.9K 
[   ]libdng-dev-0.2.1-r0.apk2024-12-27 22:17 2.9K 
[   ]libdng-0.2.1-r0.apk2024-12-27 22:17 12K 
[   ]libdcmtk-3.6.9-r0.apk2025-01-19 16:48 6.5M 
[   ]libdbusaccess-dev-1.0.20-r1.apk2025-08-09 12:15 4.7K 
[   ]libdbusaccess-1.0.20-r1.apk2025-08-09 12:15 20K 
[   ]libcyaml-static-1.4.2-r0.apk2024-10-25 20:49 25K 
[   ]libcyaml-doc-1.4.2-r0.apk2024-10-25 20:49 8.4K 
[   ]libcyaml-dev-1.4.2-r0.apk2024-10-25 20:49 13K 
[   ]libcyaml-1.4.2-r0.apk2024-10-25 20:49 22K 
[   ]libctl-doc-4.5.1-r1.apk2024-10-25 20:49 2.8K 
[   ]libctl-dev-4.5.1-r1.apk2024-10-25 20:49 38K 
[   ]libctl-4.5.1-r1.apk2024-10-25 20:49 100K 
[   ]libcpdf-static-2.8.1-r0.apk2025-05-08 12:40 3.6M 
[   ]libcpdf-dev-2.8.1-r0.apk2025-05-08 12:40 16K 
[   ]libcpdf-2.8.1-r0.apk2025-05-08 12:40 2.3M 
[   ]libcotp-dev-3.1.1-r0.apk2025-10-03 22:25 2.3K 
[   ]libcotp-3.1.1-r0.apk2025-10-03 22:25 10K 
[   ]libcorkipset-tools-1.1.1-r4.apk2024-10-25 20:49 16K 
[   ]libcorkipset-dev-1.1.1-r4.apk2024-10-25 20:49 7.8K 
[   ]libcorkipset-1.1.1-r4.apk2024-10-25 20:49 15K 
[   ]libcork-tools-0.15.0-r7.apk2024-10-25 20:49 4.8K 
[   ]libcork-dev-0.15.0-r7.apk2024-10-25 20:49 30K 
[   ]libcork-0.15.0-r7.apk2024-10-25 20:49 37K 
[   ]libcob4-3.2-r0.apk2025-07-28 14:02 212K 
[   ]libcli-1.10.7-r0.apk2024-10-25 20:49 36K 
[   ]libclc-next-22.0.0_pre20250926-r0.apk2025-10-03 08:44 12M 
[   ]libbsoncxx-dev-3.8.0-r0.apk2024-10-25 20:49 38K 
[   ]libbsoncxx-3.8.0-r0.apk2024-10-25 20:49 46K 
[   ]libbraiding-dev-1.3.1-r0.apk2024-11-17 04:09 15K 
[   ]libbraiding-1.3.1-r0.apk2024-11-17 04:09 112K 
[   ]libbloom-dev-2.0-r0.apk2024-10-25 20:49 3.2K 
[   ]libbloom-2.0-r0.apk2024-10-25 20:49 6.1K 
[   ]libbamf-doc-0.5.6-r1.apk2024-10-25 20:49 31K 
[   ]libbamf-dev-0.5.6-r1.apk2024-10-25 20:49 6.2K 
[   ]libbamf-0.5.6-r1.apk2024-10-25 20:49 160K 
[   ]libb64-doc-2.0.0.1-r0.apk2024-10-25 20:49 7.8K 
[   ]libb64-dev-2.0.0.1-r0.apk2024-10-25 20:49 5.6K 
[   ]libb64-2.0.0.1-r0.apk2024-10-25 20:49 4.6K 
[   ]libaudec-tools-0.3.4-r3.apk2024-10-25 20:49 28K 
[   ]libaudec-static-0.3.4-r3.apk2024-10-25 20:49 32K 
[   ]libaudec-dev-0.3.4-r3.apk2024-10-25 20:49 4.0K 
[   ]libaudec-0.3.4-r3.apk2024-10-25 20:49 28K 
[   ]libantlr4-dev-4.13.2-r0.apk2024-12-12 11:08 1.0M 
[   ]libantlr4-4.13.2-r0.apk2024-12-12 11:08 487K 
[   ]libantlr3c-dev-3.4-r3.apk2024-10-25 20:49 58K 
[   ]libantlr3c-3.4-r3.apk2024-10-25 20:49 60K 
[   ]libabigail-tools-2.3-r0.apk2024-10-25 20:49 111K 
[   ]libabigail-doc-2.3-r0.apk2024-10-25 20:49 61K 
[   ]libabigail-dev-2.3-r0.apk2024-10-25 20:49 1.3M 
[   ]libabigail-bash-completion-2.3-r0.apk2024-10-25 20:49 2.7K 
[   ]libabigail-2.3-r0.apk2024-10-25 20:49 866K 
[   ]lgogdownloader-doc-3.16-r1.apk2025-03-25 15:24 8.2K 
[   ]lgogdownloader-3.16-r1.apk2025-03-25 15:24 371K 
[   ]lfm-pyc-3.1-r4.apk2024-10-25 20:49 133K 
[   ]lfm-doc-3.1-r4.apk2024-10-25 20:49 2.5K 
[   ]lfm-3.1-r4.apk2024-10-25 20:49 88K 
[   ]levmar-dev-2.6-r0.apk2024-10-25 20:49 51K 
[   ]leptosfmt-doc-0.1.33-r0.apk2025-03-25 15:55 5.8K 
[   ]leptosfmt-0.1.33-r0.apk2025-03-25 15:55 1.1M 
[   ]legume-doc-1.4.2-r13.apk2025-10-08 22:26 12K 
[   ]legume-1.4.2-r13.apk2025-10-08 22:26 1.5M 
[   ]lefthook-doc-1.13.5-r1.apk2025-10-08 22:26 2.0K 
[   ]lefthook-1.13.5-r1.apk2025-10-08 22:26 5.6M 
[   ]ledmon-doc-1.0.0-r0.apk2024-10-25 20:49 14K 
[   ]ledmon-1.0.0-r0.apk2024-10-25 20:49 84K 
[   ]ldc-stage0-1.41.0-r0.apk2025-06-07 17:13 11M 
[   ]ldapdomaindump-pyc-0.10.0-r0.apk2025-07-30 19:19 30K 
[   ]ldapdomaindump-0.10.0-r0.apk2025-07-30 19:19 18K 
[   ]lcalc-libs-2.1.0-r0.apk2025-05-04 02:50 221K 
[   ]lcalc-doc-2.1.0-r0.apk2025-05-04 02:50 437K 
[   ]lcalc-dev-2.1.0-r0.apk2025-05-04 02:50 51K 
[   ]lcalc-2.1.0-r0.apk2025-05-04 02:50 178K 
[   ]lbb-doc-0.10.2-r1.apk2025-10-08 22:26 20K 
[   ]lbb-0.10.2-r1.apk2025-10-08 22:26 3.1M 
[   ]laze-zsh-completion-0.1.38-r0.apk2025-07-20 20:27 3.4K 
[   ]laze-fish-completion-0.1.38-r0.apk2025-07-20 20:27 3.2K 
[   ]laze-doc-0.1.38-r0.apk2025-07-20 20:27 3.3K 
[   ]laze-bash-completion-0.1.38-r0.apk2025-07-20 20:27 2.9K 
[   ]laze-0.1.38-r0.apk2025-07-20 20:27 1.2M 
[   ]lavacli-pyc-2.4-r0.apk2025-06-15 03:44 88K 
[   ]lavacli-doc-2.4-r0.apk2025-06-15 03:44 34K 
[   ]lavacli-2.4-r0.apk2025-06-15 03:44 49K 
[   ]kubesplit-pyc-0.3.3-r1.apk2024-10-25 20:49 13K 
[   ]kubesplit-0.3.3-r1.apk2024-10-25 20:49 12K 
[   ]kubeseal-doc-0.32.1-r1.apk2025-10-08 22:26 5.3K 
[   ]kubeseal-0.32.1-r1.apk2025-10-08 22:26 11M 
[   ]kubepug-zsh-completion-1.7.1-r14.apk2025-10-08 22:26 3.8K 
[   ]kubepug-fish-completion-1.7.1-r14.apk2025-10-08 22:26 4.1K 
[   ]kubepug-bash-completion-1.7.1-r14.apk2025-10-08 22:26 4.9K 
[   ]kubepug-1.7.1-r14.apk2025-10-08 22:26 16M 
[   ]kubeone-zsh-completion-1.10.0-r4.apk2025-10-08 22:26 3.8K 
[   ]kubeone-doc-1.10.0-r4.apk2025-10-08 22:26 20K 
[   ]kubeone-bash-completion-1.10.0-r4.apk2025-10-08 22:26 6.4K 
[   ]kubeone-1.10.0-r4.apk2025-10-08 22:26 26M 
[   ]kubectl-oidc_login-1.34.0-r3.apk2025-10-08 22:26 5.3M 
[   ]kubectl-krew-0.4.5-r6.apk2025-10-08 22:26 4.4M 
[   ]kubeconform-0.7.0-r2.apk2025-10-08 22:26 3.5M 
[   ]kube-no-trouble-0.7.3-r9.apk2025-10-08 22:26 13M 
[   ]ktx-libs-4.3.2-r1.apk2025-06-10 02:50 1.6M 
[   ]ktx-dev-4.3.2-r1.apk2025-06-10 02:50 29K 
[   ]ktx-4.3.2-r1.apk2025-06-10 02:50 1.4M 
[   ]kronosnet-doc-1.30-r0.apk2025-05-27 23:27 105K 
[   ]kronosnet-dev-1.30-r0.apk2025-05-27 23:27 2.6M 
[   ]kronosnet-1.30-r0.apk2025-05-27 23:27 94K 
[   ]krita-plugin-gmic-3.2.4.1-r3.apk2024-10-25 20:49 2.8M 
[   ]krdp-lang-6.4.5-r0.apk2025-09-13 20:22 54K 
[   ]krdp-6.4.5-r0.apk2025-09-13 20:22 216K 
[   ]kotlin-language-server-1.3.13-r0.apk2025-03-28 16:14 83M 
[   ]kopia-zsh-completion-0.21.1-r3.apk2025-10-08 22:26 1.5K 
[   ]kopia-bash-completion-0.21.1-r3.apk2025-10-08 22:26 1.5K 
[   ]kopia-0.21.1-r3.apk2025-10-08 22:26 15M 
[   ]kontainer-1.0.1-r0.apk2025-06-16 22:23 195K 
[   ]kondo-zsh-completion-0.8-r0.apk2024-10-25 20:49 2.2K 
[   ]kondo-fish-completion-0.8-r0.apk2024-10-25 20:49 1.8K 
[   ]kondo-bash-completion-0.8-r0.apk2024-10-25 20:49 1.8K 
[   ]kondo-0.8-r0.apk2024-10-25 20:49 724K 
[   ]kompose-zsh-completion-1.31.2-r14.apk2025-10-08 22:26 6.5K 
[   ]kompose-fish-completion-1.31.2-r14.apk2025-10-08 22:26 4.1K 
[   ]kompose-bash-completion-1.31.2-r14.apk2025-10-08 22:26 5.3K 
[   ]kompose-1.31.2-r14.apk2025-10-08 22:26 6.8M 
[   ]komikku-pyc-1.85.0-r0.apk2025-08-22 08:07 797K 
[   ]komikku-lang-1.85.0-r0.apk2025-08-22 08:07 284K 
[   ]komikku-1.85.0-r0.apk2025-08-22 08:07 444K 
[   ]kodaskanna-lang-0.2.2-r0.apk2025-01-20 08:17 23K 
[   ]kodaskanna-0.2.2-r0.apk2025-01-20 08:17 55K 
[   ]ko-zsh-completion-0.17.1-r9.apk2025-10-08 22:26 3.8K 
[   ]ko-fish-completion-0.17.1-r9.apk2025-10-08 22:26 4.0K 
[   ]ko-bash-completion-0.17.1-r9.apk2025-10-08 22:26 4.8K 
[   ]ko-0.17.1-r9.apk2025-10-08 22:26 9.9M 
[   ]knxd-dev-0.14.61-r1.apk2024-12-14 21:36 24K 
[   ]knxd-0.14.61-r1.apk2024-12-14 21:36 402K 
[   ]knative-client-zsh-completion-1.18.0-r4.apk2025-10-08 22:26 3.8K 
[   ]knative-client-fish-completion-1.18.0-r4.apk2025-10-08 22:26 4.0K 
[   ]knative-client-bash-completion-1.18.0-r4.apk2025-10-08 22:26 9.9K 
[   ]knative-client-1.18.0-r4.apk2025-10-08 22:26 23M 
[   ]klong-20221212-r0.apk2025-06-07 15:17 332K 
[   ]kjv-0_git20221103-r0.apk2024-10-25 20:49 1.5M 
[   ]kismet-nxp-kw41z-0.202509.1-r0.apk2025-10-09 04:09 49K 
[   ]kismet-nrf-51822-0.202509.1-r0.apk2025-10-09 04:09 48K 
[   ]kismet-logtools-0.202509.1-r0.apk2025-10-09 04:09 1.2M 
[   ]kismet-linux-wifi-0.202509.1-r0.apk2025-10-09 04:09 69K 
[   ]kismet-linux-bluetooth-0.202509.1-r0.apk2025-10-09 04:09 50K 
[   ]kismet-0.202509.1-r0.apk2025-10-09 04:09 12M 
[   ]kirc-doc-0.3.3-r0.apk2025-02-22 11:10 2.5K 
[   ]kirc-0.3.3-r0.apk2025-02-22 11:10 15K 
[   ]kine-doc-0.10.1-r17.apk2025-10-08 22:26 4.9K 
[   ]kine-0.10.1-r17.apk2025-10-08 22:26 7.6M 
[   ]kimchi-pyc-3.0.0-r8.apk2025-02-10 20:43 476K 
[   ]kimchi-lang-3.0.0-r8.apk2025-02-10 20:43 172K 
[   ]kimchi-3.0.0-r8.apk2025-02-10 20:43 529K 
[   ]khronos-lang-4.0.1-r0.apk2024-10-25 20:49 25K 
[   ]khronos-4.0.1-r0.apk2024-10-25 20:49 55K 
[   ]khinsider-2.0.7-r24.apk2025-10-08 22:26 3.4M 
[   ]kgraphviewer-lang-2.5.0-r0.apk2024-10-25 20:49 230K 
[   ]kgraphviewer-dev-2.5.0-r0.apk2024-10-25 20:49 6.0K 
[   ]kgraphviewer-2.5.0-r0.apk2024-10-25 20:49 1.3M 
[   ]kfc-0.1.4-r0.apk2024-10-25 20:49 58K 
[   ]keystone-python-pyc-0.9.2-r6.apk2024-10-25 20:49 9.4K 
[   ]keystone-python-0.9.2-r6.apk2024-10-25 20:49 1.6M 
[   ]keystone-dev-0.9.2-r6.apk2024-10-25 20:49 7.0K 
[   ]keystone-0.9.2-r6.apk2024-10-25 20:49 1.4M 
[   ]keybase-client-6.2.8-r14.apk2025-10-08 22:26 18M 
[   ]kew-doc-3.4.1-r0.apk2025-09-06 17:17 3.3K 
[   ]kew-3.4.1-r0.apk2025-09-06 17:17 631K 
[   ]kerberoast-pyc-0.2.0-r2.apk2025-05-29 12:09 15K 
[   ]kerberoast-0.2.0-r2.apk2025-05-29 12:09 9.1K 
[   ]kdiskmark-lang-3.2.0-r0.apk2025-06-30 16:46 32K 
[   ]kdiskmark-3.2.0-r0.apk2025-06-30 16:46 172K 
[   ]kcbench-doc-0.9.12-r2.apk2025-10-03 09:41 20K 
[   ]kcbench-0.9.12-r2.apk2025-10-03 09:41 37K 
[   ]kbs2-zsh-completion-0.7.3-r0.apk2025-07-30 07:29 3.8K 
[   ]kbs2-fish-completion-0.7.3-r0.apk2025-07-30 07:29 3.2K 
[   ]kbs2-bash-completion-0.7.3-r0.apk2025-07-30 07:29 3.0K 
[   ]kbs2-0.7.3-r0.apk2025-07-30 07:29 1.3M 
[   ]katarakt-0.2-r1.apk2025-01-29 19:22 90K 
[   ]katana-doc-1.2.2-r2.apk2025-10-08 22:25 2.0K 
[   ]katana-1.2.2-r2.apk2025-10-08 22:25 13M 
[   ]kapow-0.7.1-r17.apk2025-10-08 22:25 3.5M 
[   ]kannel-doc-1.5.0-r11.apk2024-10-25 20:49 5.9K 
[   ]kannel-dev-1.5.0-r11.apk2024-10-25 20:49 1.0M 
[   ]kannel-1.5.0-r11.apk2024-10-25 20:49 6.3M 
[   ]kanidm-zsh-completion-1.7.3-r0.apk2025-08-22 09:23 35K 
[   ]kanidm-unixd-clients-1.7.3-r0.apk2025-08-22 09:23 9.8M 
[   ]kanidm-server-1.7.3-r0.apk2025-08-22 09:23 15M 
[   ]kanidm-openrc-1.7.3-r0.apk2025-08-22 09:23 1.8K 
[   ]kanidm-clients-1.7.3-r0.apk2025-08-22 09:23 3.7M 
[   ]kanidm-bash-completion-1.7.3-r0.apk2025-08-22 09:23 24K 
[   ]kanidm-1.7.3-r0.apk2025-08-22 09:23 1.0K 
[   ]kabmat-doc-2.7.0-r0.apk2024-10-25 20:48 3.3K 
[   ]kabmat-2.7.0-r0.apk2024-10-25 20:48 61K 
[   ]k3sup-zsh-completion-0.13.6-r9.apk2025-10-08 22:25 3.7K 
[   ]k3sup-fish-completion-0.13.6-r9.apk2025-10-08 22:25 4.0K 
[   ]k3sup-bash-completion-0.13.6-r9.apk2025-10-08 22:25 4.8K 
[   ]k3sup-0.13.6-r9.apk2025-10-08 22:25 2.6M 
[   ]k2-0_git20220807-r1.apk2024-10-25 20:48 100K 
[   ]jwt-cli-6.2.0-r0.apk2024-12-14 21:36 882K 
[   ]junit2html-pyc-31.0.2-r0.apk2024-10-25 20:48 23K 
[   ]junit2html-31.0.2-r0.apk2024-10-25 20:48 16K 
[   ]jsonnet-language-server-0.15.0-r8.apk2025-10-08 22:25 4.3M 
[   ]jsonnet-bundler-0.6.0-r9.apk2025-10-08 22:25 3.1M 
[   ]json2tsv-jaq-doc-1.2-r0.apk2024-10-25 20:48 2.1K 
[   ]json2tsv-jaq-1.2-r0.apk2024-10-25 20:48 1.6K 
[   ]json2tsv-doc-1.2-r0.apk2024-10-25 20:48 5.0K 
[   ]json2tsv-1.2-r0.apk2024-10-25 20:48 6.8K 
[   ]jsmn-1.1.0-r2.apk2024-10-25 20:48 4.5K 
[   ]jrsonnet-cli-0.4.2-r1.apk2024-10-25 20:48 598K 
[   ]jotdown-0.7.0-r0.apk2025-03-11 20:13 245K 
[   ]jhead-doc-3.08-r0.apk2024-10-25 20:48 7.6K 
[   ]jhead-3.08-r0.apk2024-10-25 20:48 33K 
[   ]jfrog-cli-2.45.0-r16.apk2025-10-08 22:25 8.9M 
[   ]jedi-language-server-pyc-0.45.1-r0.apk2025-04-28 22:59 49K 
[   ]jedi-language-server-0.45.1-r0.apk2025-04-28 22:59 31K 
[   ]jdupes-doc-1.28.0-r0.apk2024-10-25 20:48 8.7K 
[   ]jdupes-1.28.0-r0.apk2024-10-25 20:48 28K 
[   ]jdebp-redo-doc-1.4-r1.apk2024-10-25 20:48 12K 
[   ]jdebp-redo-1.4-r1.apk2024-10-25 20:48 99K 
[   ]jbigkit-doc-2.1-r2.apk2024-10-25 20:48 7.1K 
[   ]jbigkit-dev-2.1-r2.apk2024-10-25 20:48 31K 
[   ]jbigkit-2.1-r2.apk2024-10-25 20:48 66K 
[   ]java-jtharness-examples-6.0_p12-r0.apk2024-10-25 20:48 219K 
[   ]java-jtharness-doc-6.0_p12-r0.apk2024-10-25 20:48 12K 
[   ]java-jtharness-6.0_p12-r0.apk2024-10-25 20:48 4.0M 
[   ]java-gdcm-3.2.1-r0.apk2025-10-03 08:44 637K 
[   ]java-asmtools-doc-8.0.09-r0.apk2024-10-25 20:48 6.6K 
[   ]java-asmtools-8.0.09-r0.apk2024-10-25 20:48 574K 
[   ]jaq-doc-2.3.0-r0.apk2025-07-20 10:41 2.0K 
[   ]jaq-2.3.0-r0.apk2025-07-20 10:41 830K 
[   ]jalv-gtk-1.6.8-r1.apk2024-10-25 20:48 34K 
[   ]jalv-doc-1.6.8-r1.apk2024-10-25 20:48 2.9K 
[   ]jalv-1.6.8-r1.apk2024-10-25 20:48 52K 
[   ]jackline-0.1.0-r3.apk2024-10-25 20:48 4.1M 
[   ]jackdaw-pyc-0.3.1-r2.apk2025-05-29 12:09 364K 
[   ]jackdaw-0.3.1-r2.apk2025-05-29 12:09 2.0M 
[   ]jackal-openrc-0.64.0-r19.apk2025-10-08 22:25 1.6K 
[   ]jackal-0.64.0-r19.apk2025-10-08 22:25 11M 
[   ]jack_capture-0.9.73_git20210429-r2.apk2024-10-25 20:48 36K 
[   ]it87-src-1_p20240609-r0.apk2024-10-25 20:48 29K 
[   ]isomd5sum-doc-1.2.5-r0.apk2025-07-15 08:02 2.7K 
[   ]isomd5sum-1.2.5-r0.apk2025-07-15 08:02 32K 
[   ]isoinfo-0_git20131217-r1.apk2024-10-25 20:48 6.7K 
[   ]ircdog-0.5.4-r9.apk2025-10-08 22:25 2.4M 
[   ]ircd-hybrid-doc-8.2.47-r0.apk2025-04-05 09:56 3.4K 
[   ]ircd-hybrid-8.2.47-r0.apk2025-04-05 09:56 516K 
[   ]irccd-openrc-4.0.3-r0.apk2024-10-25 20:48 1.6K 
[   ]irccd-doc-4.0.3-r0.apk2024-10-25 20:48 80K 
[   ]irccd-dev-4.0.3-r0.apk2024-10-25 20:48 9.4K 
[   ]irccd-4.0.3-r0.apk2024-10-25 20:48 280K 
[   ]iprange-doc-1.0.4-r1.apk2024-10-25 20:48 4.3K 
[   ]iprange-1.0.4-r1.apk2024-10-25 20:48 20K 
[   ]ipp-usb-openrc-0.9.30-r4.apk2025-10-08 22:25 1.5K 
[   ]ipp-usb-doc-0.9.30-r4.apk2025-10-08 22:25 8.6K 
[   ]ipp-usb-0.9.30-r4.apk2025-10-08 22:25 2.4M 
[   ]ip2location-doc-8.6.1-r0.apk2024-10-25 20:48 2.5K 
[   ]ip2location-dev-8.6.1-r0.apk2024-10-25 20:48 13K 
[   ]ip2location-8.6.1-r0.apk2024-10-25 20:48 27K 
[   ]invidtui-0.4.6-r9.apk2025-10-08 22:25 3.9M 
[   ]interception-tools-openrc-0.6.8-r2.apk2024-10-25 20:48 1.4K 
[   ]interception-tools-0.6.8-r2.apk2024-10-25 20:48 116K 
[   ]innernet-zsh-completion-1.6.1-r0.apk2024-10-25 20:48 5.2K 
[   ]innernet-openrc-1.6.1-r0.apk2024-10-25 20:48 2.0K 
[   ]innernet-fish-completion-1.6.1-r0.apk2024-10-25 20:48 4.3K 
[   ]innernet-doc-1.6.1-r0.apk2024-10-25 20:48 8.8K 
[   ]innernet-bash-completion-1.6.1-r0.apk2024-10-25 20:48 3.6K 
[   ]innernet-1.6.1-r0.apk2024-10-25 20:48 2.9M 
[   ]initify-0_git20171210-r1.apk2024-10-25 20:48 3.0K 
[   ]infnoise-openrc-0.3.3-r0.apk2025-05-26 17:46 1.5K 
[   ]infnoise-doc-0.3.3-r0.apk2025-05-26 17:46 3.9K 
[   ]infnoise-0.3.3-r0.apk2025-05-26 17:46 15K 
[   ]imrsh-dbg-0_git20210320-r1.apk2024-10-25 20:48 19K 
[   ]imrsh-0_git20210320-r1.apk2024-10-25 20:48 9.0K 
[   ]imgdiff-doc-1.0.2-r30.apk2025-10-08 22:25 2.0K 
[   ]imgdiff-1.0.2-r30.apk2025-10-08 22:25 1.0M 
[   ]imediff-pyc-2.6-r1.apk2024-10-25 20:48 43K 
[   ]imediff-doc-2.6-r1.apk2024-10-25 20:48 6.3K 
[   ]imediff-2.6-r1.apk2024-10-25 20:48 41K 
[   ]imapfilter-doc-2.8.2-r0.apk2024-10-25 20:48 13K 
[   ]imapfilter-2.8.2-r0.apk2024-10-25 20:48 43K 
[   ]ijq-doc-1.2.0-r1.apk2025-10-08 22:25 3.3K 
[   ]ijq-1.2.0-r1.apk2025-10-08 22:25 1.4M 
[   ]igrep-doc-1.2.0-r0.apk2024-10-25 20:48 3.9K 
[   ]igrep-1.2.0-r0.apk2024-10-25 20:48 1.7M 
[   ]ifuse-doc-1.1.4-r5.apk2024-10-30 22:46 2.1K 
[   ]ifuse-1.1.4-r5.apk2024-10-30 22:46 10K 
[   ]idevicerestore-doc-1.0.0_git20250914-r0.apk2025-10-06 17:41 3.2K 
[   ]idevicerestore-1.0.0_git20250914-r0.apk2025-10-06 17:41 100K 
[   ]ideviceinstaller-doc-1.1.1-r4.apk2024-10-30 22:46 2.2K 
[   ]ideviceinstaller-1.1.1-r4.apk2024-10-30 22:46 14K 
[   ]idesk-1-r1.apk2024-10-25 20:48 72K 
[   ]identme-0.6.0-r0.apk2025-04-03 12:34 48K 
[   ]identities-0.1.4-r0.apk2025-05-05 21:16 13K 
[   ]icingaweb2-module-pnp-doc-1.1.0-r1.apk2024-10-25 20:48 1.6K 
[   ]icingaweb2-module-pnp-1.1.0-r1.apk2024-10-25 20:48 9.0K 
[   ]icingaweb2-module-generictts-doc-2.1.0-r0.apk2024-10-25 20:48 1.6K 
[   ]icingaweb2-module-generictts-2.1.0-r0.apk2024-10-25 20:48 6.3K 
[   ]icingaweb2-module-fileshipper-doc-1.2.0-r3.apk2024-10-25 20:48 231K 
[   ]icingaweb2-module-fileshipper-1.2.0-r3.apk2024-10-25 20:48 11K 
[   ]icingaweb2-module-businessprocess-doc-2.5.2-r0.apk2025-08-13 15:55 2.0M 
[   ]icingaweb2-module-businessprocess-2.5.2-r0.apk2025-08-13 15:55 110K 
[   ]icestorm-0_git20240517-r0.apk2024-10-25 20:48 17M 
[   ]icesprog-udev-0_git20240108-r1.apk2024-10-25 20:48 1.6K 
[   ]icesprog-0_git20240108-r1.apk2024-10-25 20:48 9.3K 
[   ]ibus-typing-booster-pyc-2.28.0-r0.apk2025-10-04 15:12 1.3M 
[   ]ibus-typing-booster-lang-2.28.0-r0.apk2025-10-04 15:12 311K 
[   ]ibus-typing-booster-2.28.0-r0.apk2025-10-04 15:12 13M 
[   ]i3status-rust-doc-0.34.0-r0.apk2025-07-19 05:43 33K 
[   ]i3status-rust-0.34.0-r0.apk2025-07-19 05:43 4.4M 
[   ]i3bar-river-1.1.0-r0.apk2025-03-14 17:36 575K 
[   ]i2util-doc-4.2.1-r1.apk2024-10-25 20:48 4.5K 
[   ]i2util-dev-4.2.1-r1.apk2024-10-25 20:48 48K 
[   ]i2util-4.2.1-r1.apk2024-10-25 20:48 23K 
[   ]hyx-doc-2024.02.29-r0.apk2024-10-25 20:48 2.0K 
[   ]hyx-2024.02.29-r0.apk2024-10-25 20:48 18K 
[   ]hyprsunset-openrc-0.3.3-r0.apk2025-10-09 12:12 1.5K 
[   ]hyprsunset-doc-0.3.3-r0.apk2025-10-09 12:12 2.2K 
[   ]hyprsunset-0.3.3-r0.apk2025-10-09 12:12 149K 
[   ]hyprpicker-doc-0.4.5-r0.apk2025-10-03 08:43 3.6K 
[   ]hyprpicker-0.4.5-r0.apk2025-10-03 08:43 131K 
[   ]hyprlock-0.9.1-r0.apk2025-10-03 08:43 480K 
[   ]hypridle-openrc-0.1.7-r0.apk2025-10-03 08:43 1.4K 
[   ]hypridle-doc-0.1.7-r0.apk2025-10-03 08:43 2.1K 
[   ]hypridle-0.1.7-r0.apk2025-10-03 08:43 143K 
[   ]hypnotix-lang-3.5-r0.apk2024-10-25 20:48 72K 
[   ]hypnotix-3.5-r0.apk2024-10-25 20:48 110K 
[   ]hyperrogue-doc-13.1e-r0.apk2025-09-13 13:04 7.7K 
[   ]hyperrogue-13.1e-r0.apk2025-09-13 13:04 84M 
[   ]hyfetch-zsh-completion-2.0.2-r0.apk2025-09-06 17:17 2.3K 
[   ]hyfetch-doc-2.0.2-r0.apk2025-09-06 17:17 18K 
[   ]hyfetch-bash-completion-2.0.2-r0.apk2025-09-06 17:17 3.1K 
[   ]hyfetch-2.0.2-r0.apk2025-09-06 17:17 924K 
[   ]hx-doc-1.0.15-r0.apk2024-10-25 20:48 4.5K 
[   ]hx-1.0.15-r0.apk2024-10-25 20:48 15K 
[   ]hwatch-zsh-completion-0.3.11-r0.apk2024-10-25 20:48 1.7K 
[   ]hwatch-fish-completion-0.3.11-r0.apk2024-10-25 20:48 1.6K 
[   ]hwatch-doc-0.3.11-r0.apk2024-10-25 20:48 2.8K 
[   ]hwatch-0.3.11-r0.apk2024-10-25 20:48 1.0M 
[   ]hw-probe-1.6.6-r2.apk2025-06-30 09:24 124K 
[   ]hurlfmt-6.1.1-r0.apk2025-05-04 02:50 915K 
[   ]hurl-zsh-completion-6.1.1-r0.apk2025-05-04 02:50 3.7K 
[   ]hurl-fish-completion-6.1.1-r0.apk2025-05-04 02:50 3.3K 
[   ]hurl-doc-6.1.1-r0.apk2025-05-04 02:50 8.5K 
[   ]hurl-bash-completion-6.1.1-r0.apk2025-05-04 02:50 2.0K 
[   ]hurl-6.1.1-r0.apk2025-05-04 02:50 1.9M 
[   ]hunspell-fr-doc-7.0-r0.apk2025-06-13 14:36 2.6K 
[   ]hunspell-fr-7.0-r0.apk2025-06-13 14:36 1.2M 
[   ]hunspell-ca-es-3.0.7-r0.apk2024-10-25 20:48 731K 
[   ]hubble-cli-zsh-completion-0.13.6-r9.apk2025-10-08 22:25 3.8K 
[   ]hubble-cli-fish-completion-0.13.6-r9.apk2025-10-08 22:25 4.1K 
[   ]hubble-cli-bash-completion-0.13.6-r9.apk2025-10-08 22:25 4.8K 
[   ]hubble-cli-0.13.6-r9.apk2025-10-08 22:25 17M 
[   ]hub-zsh-completion-2.14.2-r35.apk2025-10-08 22:25 3.4K 
[   ]hub-fish-completion-2.14.2-r35.apk2025-10-08 22:25 3.0K 
[   ]hub-doc-2.14.2-r35.apk2025-10-08 22:25 42K 
[   ]hub-bash-completion-2.14.2-r35.apk2025-10-08 22:25 4.3K 
[   ]hub-2.14.2-r35.apk2025-10-08 22:25 2.8M 
[   ]httrack-doc-3.49.2-r5.apk2024-10-25 20:48 528K 
[   ]httrack-3.49.2-r5.apk2024-10-25 20:48 760K 
[   ]httpx-doc-1.7.1-r2.apk2025-10-08 22:25 2.0K 
[   ]httpx-1.7.1-r2.apk2025-10-08 22:25 14M 
[   ]httplz-doc-2.2.0-r0.apk2025-05-18 15:39 2.0K 
[   ]httplz-2.2.0-r0.apk2025-05-18 15:39 1.3M 
[   ]httpie-oauth-pyc-1.0.2-r9.apk2024-10-25 20:48 2.0K 
[   ]httpie-oauth-1.0.2-r9.apk2024-10-25 20:48 3.1K 
[   ]htslib-tools-1.19-r0.apk2024-10-25 20:48 1.3M 
[   ]htslib-static-1.19-r0.apk2024-10-25 20:48 527K 
[   ]htslib-doc-1.19-r0.apk2024-10-25 20:48 22K 
[   ]htslib-dev-1.19-r0.apk2024-10-25 20:48 115K 
[   ]htslib-1.19-r0.apk2024-10-25 20:48 402K 
[   ]htmlcxx-dev-0.87-r1.apk2024-10-25 20:48 21K 
[   ]htmlcxx-0.87-r1.apk2024-10-25 20:48 66K 
[   ]hstdb-2.1.0-r2.apk2024-10-25 20:48 924K 
[   ]hsetroot-1.0.5-r1.apk2024-10-25 20:48 12K 
[   ]hplip-ppd-3.25.6-r0.apk2025-10-03 08:43 16M 
[   ]hplip-libs-3.25.6-r0.apk2025-10-03 08:43 120K 
[   ]hplip-doc-3.25.6-r0.apk2025-10-03 08:43 31K 
[   ]hplip-3.25.6-r0.apk2025-10-03 08:43 5.7M 
[   ]hping3-doc-20051105-r4.apk2024-10-25 20:48 17K 
[   ]hping3-20051105-r4.apk2024-10-25 20:48 69K 
[   ]horust-doc-0.1.9-r0.apk2025-08-05 11:36 9.9K 
[   ]horust-0.1.9-r0.apk2025-08-05 11:36 1.2M 
[   ]horizon-tools-0.9.6-r9.apk2024-10-25 20:48 84K 
[   ]horizon-image-0.9.6-r9.apk2024-10-25 20:48 69K 
[   ]horizon-doc-0.9.6-r9.apk2024-10-25 20:48 21K 
[   ]horizon-dev-0.9.6-r9.apk2024-10-25 20:48 4.6K 
[   ]horizon-dbg-0.9.6-r9.apk2024-10-25 20:48 4.0M 
[   ]horizon-0.9.6-r9.apk2024-10-25 20:48 211K 
[   ]homebank-lang-5.9.1-r0.apk2025-05-08 12:40 942K 
[   ]homebank-5.9.1-r0.apk2025-05-08 12:40 2.0M 
[   ]hitide-openrc-0.15.0-r0.apk2024-10-25 20:48 1.9K 
[   ]hitide-0.15.0-r0.apk2024-10-25 20:48 2.0M 
[   ]hiprompt-gtk-py-0.8.0-r1.apk2025-10-03 08:43 7.8K 
[   ]himitsu-secret-service-pyc-0.1_git20250705-r0.apk2025-08-25 10:58 28K 
[   ]himitsu-secret-service-doc-0.1_git20250705-r0.apk2025-08-25 10:58 3.7K 
[   ]himitsu-secret-service-0.1_git20250705-r0.apk2025-08-25 10:58 16K 
[   ]hilbish-doc-2.3.4-r9.apk2025-10-08 22:25 25K 
[   ]hilbish-2.3.4-r9.apk2025-10-08 22:25 3.5M 
[   ]highfive-2.10.1-r0.apk2025-01-15 02:51 75K 
[   ]highctidh-dev-1.0.2024092800-r0.apk2024-11-25 20:41 421K 
[   ]highctidh-1.0.2024092800-r0.apk2024-11-25 20:41 394K 
[   ]hidrd-dev-0.2.0_git20190603-r1.apk2024-10-25 20:48 132K 
[   ]hidrd-0.2.0_git20190603-r1.apk2024-10-25 20:48 80K 
[   ]hiawatha-openrc-11.6-r1.apk2025-05-27 11:11 1.4K 
[   ]hiawatha-letsencrypt-11.6-r1.apk2025-05-27 11:11 17K 
[   ]hiawatha-doc-11.6-r1.apk2025-05-27 11:11 21K 
[   ]hiawatha-11.6-r1.apk2025-05-27 11:11 227K 
[   ]hfst-libs-3.16.2-r0.apk2025-03-28 16:14 2.0M 
[   ]hfst-doc-3.16.2-r0.apk2025-03-28 16:14 69K 
[   ]hfst-dev-3.16.2-r0.apk2025-03-28 16:14 209K 
[   ]hfst-3.16.2-r0.apk2025-03-28 16:14 1.5M 
[   ]hexedit-doc-1.6_git20230905-r0.apk2024-10-25 20:48 5.3K 
[   ]hexedit-1.6_git20230905-r0.apk2024-10-25 20:48 17K 
[   ]hex-0.6.0-r0.apk2024-10-25 20:48 324K 
[   ]herbe-1.0.0-r0.apk2024-10-25 20:48 6.0K 
[   ]helvum-0.5.1-r0.apk2024-10-25 20:48 341K 
[   ]helmfile-zsh-completion-1.1.3-r3.apk2025-10-08 22:25 3.8K 
[   ]helmfile-fish-completion-1.1.3-r3.apk2025-10-08 22:25 4.1K 
[   ]helmfile-doc-1.1.3-r3.apk2025-10-08 22:25 2.0K 
[   ]helmfile-bash-completion-1.1.3-r3.apk2025-10-08 22:25 5.9K 
[   ]helmfile-1.1.3-r3.apk2025-10-08 22:25 45M 
[   ]helm-unittest-0.8.2-r4.apk2025-10-08 22:25 11M 
[   ]helm-mapkubeapis-0.5.2-r10.apk2025-10-08 22:25 19M 
[   ]helm-ls-doc-0.5.0-r3.apk2025-10-08 22:25 2.0K 
[   ]helm-ls-0.5.0-r3.apk2025-10-08 22:25 17M 
[   ]helm-diff-3.11.0-r5.apk2025-10-08 22:25 20M 
[   ]heisenbridge-pyc-1.15.4-r0.apk2025-10-06 05:07 155K 
[   ]heisenbridge-1.15.4-r0.apk2025-10-06 05:07 67K 
[   ]heh-doc-0.6.1-r0.apk2024-10-25 20:48 3.8K 
[   ]heh-0.6.1-r0.apk2024-10-25 20:48 519K 
[   ]hdf4-tools-4.2.15-r2.apk2024-10-25 20:48 219K 
[   ]hdf4-doc-4.2.15-r2.apk2024-10-25 20:48 5.7K 
[   ]hdf4-dev-4.2.15-r2.apk2024-10-25 20:48 101K 
[   ]hdf4-4.2.15-r2.apk2024-10-25 20:48 254K 
[   ]hddfancontrol-pyc-1.6.2-r0.apk2024-10-25 20:48 34K 
[   ]hddfancontrol-openrc-1.6.2-r0.apk2024-10-25 20:48 1.9K 
[   ]hddfancontrol-1.6.2-r0.apk2024-10-25 20:48 33K 
[   ]hctl-0.2.7-r0.apk2025-05-14 17:28 1.4M 
[   ]haxe-doc-4.3.3-r1.apk2025-03-22 14:44 7.5K 
[   ]haxe-4.3.3-r1.apk2025-03-22 14:44 10M 
[   ]hatop-doc-0.8.2-r0.apk2024-10-25 20:48 3.0K 
[   ]hatop-0.8.2-r0.apk2024-10-25 20:48 18K 
[   ]hatch-pyc-1.14.1-r0.apk2025-04-10 13:25 216K 
[   ]hatch-1.14.1-r0.apk2025-04-10 13:25 102K 
[   ]hashcat-doc-6.2.6-r0.apk2024-10-25 20:48 2.1M 
[   ]hashcat-6.2.6-r0.apk2024-10-25 20:48 69M 
[   ]harminv-libs-1.4.2-r1.apk2024-10-25 20:48 13K 
[   ]harminv-doc-1.4.2-r1.apk2024-10-25 20:48 5.4K 
[   ]harminv-dev-1.4.2-r1.apk2024-10-25 20:48 2.9K 
[   ]harminv-1.4.2-r1.apk2024-10-25 20:48 8.1K 
[   ]hardinfo2-openrc-2.2.13-r0.apk2025-10-03 08:43 1.7K 
[   ]hardinfo2-lang-2.2.13-r0.apk2025-10-03 08:43 291K 
[   ]hardinfo2-doc-2.2.13-r0.apk2025-10-03 08:43 2.7K 
[   ]hardinfo2-2.2.13-r0.apk2025-10-03 08:43 3.3M 
[   ]handlebars-utils-1.0.0-r1.apk2024-10-25 20:48 9.7K 
[   ]handlebars-dev-1.0.0-r1.apk2024-10-25 20:48 32K 
[   ]handlebars-1.0.0-r1.apk2024-10-25 20:48 108K 
[   ]hamster-time-tracker-pyc-3.0.3-r2.apk2024-10-25 20:48 358K 
[   ]hamster-time-tracker-lang-3.0.3-r2.apk2024-10-25 20:48 205K 
[   ]hamster-time-tracker-doc-3.0.3-r2.apk2024-10-25 20:48 116K 
[   ]hamster-time-tracker-bash-completion-3.0.3-r2.apk2024-10-25 20:48 1.7K 
[   ]hamster-time-tracker-3.0.3-r2.apk2024-10-25 20:48 156K 
[   ]halp-zsh-completion-0.2.0-r0.apk2024-10-25 20:48 2.1K 
[   ]halp-fish-completion-0.2.0-r0.apk2024-10-25 20:48 1.7K 
[   ]halp-doc-0.2.0-r0.apk2024-10-25 20:48 6.6K 
[   ]halp-bash-completion-0.2.0-r0.apk2024-10-25 20:48 1.9K 
[   ]halp-0.2.0-r0.apk2024-10-25 20:48 957K 
[   ]habitctl-0.1.0-r2.apk2024-10-25 20:48 347K 
[   ]h4h5tools-static-2.2.5-r4.apk2024-10-25 20:48 114K 
[   ]h4h5tools-doc-2.2.5-r4.apk2024-10-25 20:48 2.5K 
[   ]h4h5tools-dev-2.2.5-r4.apk2024-10-25 20:48 8.5K 
[   ]h4h5tools-2.2.5-r4.apk2024-10-25 20:48 102K 
[   ]gyosu-0.2.0-r2.apk2025-10-08 22:25 1.8M 
[   ]gxlimg-0_git20240711-r0.apk2025-01-21 19:50 20K 
[   ]gx-go-doc-1.9.0-r36.apk2025-10-08 22:25 2.0K 
[   ]gx-go-1.9.0-r36.apk2025-10-08 22:25 4.8M 
[   ]gx-doc-0.14.3-r34.apk2025-10-08 22:25 2.0K 
[   ]gx-0.14.3-r34.apk2025-10-08 22:25 4.6M 
[   ]gupnp-doc-1.6.9-r1.apk2025-08-09 12:15 3.5K 
[   ]gupnp-dlna-dev-0.12.0-r1.apk2025-08-09 12:15 24K 
[   ]gupnp-dlna-0.12.0-r1.apk2025-08-09 12:15 72K 
[   ]gupnp-dev-1.6.9-r1.apk2025-08-09 12:15 50K 
[   ]gupnp-av-dev-0.14.4-r1.apk2025-08-09 12:15 41K 
[   ]gupnp-av-0.14.4-r1.apk2025-08-09 12:15 82K 
[   ]gupnp-1.6.9-r1.apk2025-08-09 12:15 91K 
[   ]gufw-pyc-24.04-r3.apk2024-11-19 22:14 64K 
[   ]gufw-lang-24.04-r3.apk2024-11-19 22:14 854K 
[   ]gufw-doc-24.04-r3.apk2024-11-19 22:14 4.2K 
[   ]gufw-24.04-r3.apk2024-11-19 22:14 596K 
[   ]guetzli-dev-0_git20191025-r2.apk2025-05-25 07:56 2.4M 
[   ]guetzli-0_git20191025-r2.apk2025-05-25 07:56 183K 
[   ]guestfs-tools-1.56.1-r0.apk2025-07-22 22:10 287K 
[   ]guake-pyc-3.10-r1.apk2024-10-25 20:48 186K 
[   ]guake-lang-3.10-r1.apk2024-10-25 20:48 188K 
[   ]guake-3.10-r1.apk2024-10-25 20:48 305K 
[   ]gtranslator-lang-49.0-r0.apk2025-10-03 08:43 593K 
[   ]gtranslator-doc-49.0-r0.apk2025-10-03 08:43 637K 
[   ]gtranslator-49.0-r0.apk2025-10-03 08:43 145K 
[   ]gtkwave-doc-3.3.120-r0.apk2024-10-25 20:48 27K 
[   ]gtkwave-3.3.120-r0.apk2024-10-25 20:48 2.6M 
[   ]gtklock-doc-4.0.0-r0.apk2025-01-31 16:17 2.7K 
[   ]gtklock-4.0.0-r0.apk2025-01-31 16:17 20K 
[   ]gtkhash-lang-1.5-r0.apk2025-03-10 19:32 45K 
[   ]gtkhash-1.5-r0.apk2025-03-10 19:32 87K 
[   ]gtk-session-lock-dev-0.2.0-r0.apk2025-01-31 16:17 5.0K 
[   ]gtk-session-lock-0.2.0-r0.apk2025-01-31 16:17 36K 
[   ]gtimelog-pyc-0.12_git20250120-r1.apk2025-08-09 12:15 102K 
[   ]gtimelog-0.12_git20250120-r1.apk2025-08-09 12:15 219K 
[   ]gstreamermm-dev-1.10.0-r6.apk2025-02-18 22:21 309K 
[   ]gstreamermm-1.10.0-r6.apk2025-02-18 22:21 512K 
[   ]gst-video-thumbnailer-0_git20250917-r0.apk2025-10-03 08:43 189K 
[   ]gssdp-dev-1.6.4-r1.apk2025-08-09 12:15 15K 
[   ]gssdp-1.6.4-r1.apk2025-08-09 12:15 48K 
[   ]gsimplecal-doc-2.5.1-r0.apk2024-10-25 20:48 5.5K 
[   ]gsimplecal-2.5.1-r0.apk2024-10-25 20:48 17K 
[   ]gsettings-qt-dev-1.0.0-r0.apk2025-03-14 17:36 3.2K 
[   ]gsettings-qt-1.0.0-r0.apk2025-03-14 17:36 30K 
[   ]grpcurl-1.9.3-r7.apk2025-10-08 22:25 7.9M 
[   ]grpcui-1.5.1-r3.apk2025-10-08 22:25 8.3M 
[   ]gron-doc-0.7.1-r29.apk2025-10-08 22:25 6.1K 
[   ]gron-0.7.1-r29.apk2025-10-08 22:25 2.5M 
[   ]grip-lang-4.2.4-r0.apk2024-10-25 20:48 144K 
[   ]grip-doc-4.2.4-r0.apk2024-10-25 20:48 5.9K 
[   ]grip-4.2.4-r0.apk2024-10-25 20:48 383K 
[   ]greetd-mini-wl-greeter-doc-0_git20230821-r0.apk2024-10-25 20:48 3.0K 
[   ]greetd-mini-wl-greeter-bash-completion-0_git20230821-r0.apk2024-10-25 20:48 1.9K 
[   ]greetd-mini-wl-greeter-0_git20230821-r0.apk2024-10-25 20:48 20K 
[   ]grcov-0.8.20-r0.apk2024-11-11 10:10 2.0M 
[   ]granite7-lang-7.5.0-r0.apk2024-10-25 20:48 52K 
[   ]granite7-dev-7.5.0-r0.apk2024-10-25 20:48 43K 
[   ]granite7-7.5.0-r0.apk2024-10-25 20:48 123K 
[   ]gradia-lang-1.9.0-r0.apk2025-09-06 17:17 55K 
[   ]gradia-dev-1.9.0-r0.apk2025-09-06 17:17 2.3K 
[   ]gradia-1.9.0-r0.apk2025-09-06 17:17 532K 
[   ]gr-satellites-doc-5.5.0-r5.apk2025-06-08 16:43 4.3K 
[   ]gr-satellites-dev-5.5.0-r5.apk2025-06-08 16:43 12K 
[   ]gr-satellites-5.5.0-r5.apk2025-06-08 16:43 519K 
[   ]gpscorrelate-lang-2.3-r0.apk2025-03-27 06:36 17K 
[   ]gpscorrelate-doc-2.3-r0.apk2025-03-27 06:36 285K 
[   ]gpscorrelate-cli-2.3-r0.apk2025-03-27 06:36 28K 
[   ]gpscorrelate-2.3-r0.apk2025-03-27 06:36 52K 
[   ]gprbuild-22.0.0-r3.apk2024-10-25 20:48 13M 
[   ]goxel-0.15.1-r0.apk2024-10-25 20:48 1.9M 
[   ]gotify-cli-2.3.2-r9.apk2025-10-08 22:25 4.1M 
[   ]gossip-doc-0.14.0-r0.apk2025-03-19 13:44 22K 
[   ]gossip-0.14.0-r0.apk2025-03-19 13:44 29M 
[   ]goshs-doc-1.1.0-r4.apk2025-10-08 22:25 2.0K 
[   ]goshs-1.1.0-r4.apk2025-10-08 22:25 6.1M 
[   ]goreman-0.3.15-r17.apk2025-10-08 22:25 2.4M 
[   ]goomwwm-1.0.0-r5.apk2024-10-25 20:48 48K 
[   ]gomp-1.0.0-r16.apk2025-10-08 22:25 3.5M 
[   ]godini-doc-1.0.0-r4.apk2025-10-08 22:25 15K 
[   ]godini-1.0.0-r4.apk2025-10-08 22:25 1.5M 
[   ]gobuster-3.8.0-r2.apk2025-10-08 22:25 3.5M 
[   ]go-passbolt-cli-0.3.2-r7.apk2025-10-08 22:25 5.9M 
[   ]go-mtpfs-1.0.0-r31.apk2025-10-08 22:25 1.2M 
[   ]go-jsonnet-0.21.0-r4.apk2025-10-08 22:25 6.5M 
[   ]go-away-openrc-0.7.0-r1.apk2025-09-06 17:17 2.0K 
[   ]go-away-0.7.0-r1.apk2025-09-06 17:17 7.7M 
[   ]gnucobol-lang-3.2-r0.apk2025-07-28 14:02 316K 
[   ]gnucobol-doc-3.2-r0.apk2025-07-28 14:02 71K 
[   ]gnucobol-3.2-r0.apk2025-07-28 14:02 817K 
[   ]gnome-user-share-lang-48.1-r1.apk2025-08-09 12:15 68K 
[   ]gnome-user-share-48.1-r1.apk2025-08-09 12:15 480K 
[   ]gnome-mimeapps-0.1-r1.apk2025-08-09 12:15 3.4K 
[   ]gnome-metronome-lang-1.3.0-r0.apk2024-10-25 20:47 24K 
[   ]gnome-metronome-1.3.0-r0.apk2024-10-25 20:47 472K 
[   ]gnome-mahjongg-lang-49.0-r0.apk2025-10-03 08:43 170K 
[   ]gnome-mahjongg-doc-49.0-r0.apk2025-10-03 08:43 1.9K 
[   ]gnome-mahjongg-49.0-r0.apk2025-10-03 08:43 2.4M 
[   ]gnome-common-3.18.0-r3.apk2024-10-25 20:47 11K 
[   ]gmsh-py-4.12.2-r2.apk2024-10-25 20:47 6.5K 
[   ]gmsh-doc-4.12.2-r2.apk2024-10-25 20:47 1.9M 
[   ]gmsh-dbg-4.12.2-r2.apk2024-10-25 20:47 146M 
[   ]gmsh-4.12.2-r2.apk2024-10-25 20:47 9.7M 
[   ]gmid-openrc-2.1.1-r0.apk2024-11-27 19:26 1.9K 
[   ]gmid-doc-2.1.1-r0.apk2024-11-27 19:26 14K 
[   ]gmid-2.1.1-r0.apk2024-11-27 19:26 237K 
[   ]gmic-qt-3.6.0-r0.apk2025-08-22 12:12 1.8M 
[   ]gmic-libs-3.6.0-r0.apk2025-08-22 12:12 3.1M 
[   ]gmic-doc-3.6.0-r0.apk2025-08-22 12:12 223K 
[   ]gmic-dev-3.6.0-r0.apk2025-08-22 12:12 7.4K 
[   ]gmic-bash-completion-3.6.0-r0.apk2025-08-22 12:12 28K 
[   ]gmic-3.6.0-r0.apk2025-08-22 12:12 12M 
[   ]gmenuharness-dev-0.1.4-r2.apk2025-02-18 22:21 3.8K 
[   ]gmenuharness-0.1.4-r2.apk2025-02-18 22:21 40K 
[   ]gmcapsule-pyc-0.9.8-r0.apk2025-10-07 09:40 61K 
[   ]gmcapsule-openrc-0.9.8-r0.apk2025-10-07 09:40 1.7K 
[   ]gmcapsule-0.9.8-r0.apk2025-10-07 09:40 36K 
[   ]glslviewer-3.2.4-r2.apk2025-08-28 17:50 1.9M 
[   ]glow-zsh-completion-2.1.1-r4.apk2025-10-08 22:25 3.8K 
[   ]glow-fish-completion-2.1.1-r4.apk2025-10-08 22:25 4.1K 
[   ]glow-doc-2.1.1-r4.apk2025-10-08 22:25 2.9K 
[   ]glow-bash-completion-2.1.1-r4.apk2025-10-08 22:25 5.8K 
[   ]glow-2.1.1-r4.apk2025-10-08 22:25 5.7M 
[   ]gloox-dev-1.0.28-r0.apk2024-10-25 20:47 950K 
[   ]gloox-1.0.28-r0.apk2024-10-25 20:47 379K 
[   ]glmark2-doc-2023.01-r1.apk2024-10-25 20:47 13K 
[   ]glmark2-2023.01-r1.apk2024-10-25 20:47 8.0M 
[   ]gliderlabs-sigil-doc-0.11.0-r9.apk2025-10-08 22:25 2.2K 
[   ]gliderlabs-sigil-0.11.0-r9.apk2025-10-08 22:25 3.1M 
[   ]glfw-wayland-dev-3.3.8-r3.apk2024-10-25 20:47 45K 
[   ]glfw-wayland-dbg-3.3.8-r3.apk2024-10-25 20:47 182K 
[   ]glfw-wayland-3.3.8-r3.apk2024-10-25 20:47 65K 
[   ]gkrellm-server-2.3.11-r0.apk2025-01-08 22:37 54K 
[   ]gkrellm-lang-2.3.11-r0.apk2025-01-08 22:37 379K 
[   ]gkrellm-doc-2.3.11-r0.apk2025-01-08 22:37 18K 
[   ]gkrellm-dev-2.3.11-r0.apk2025-01-08 22:37 16K 
[   ]gkrellm-2.3.11-r0.apk2025-01-08 22:37 362K 
[   ]gitu-doc-0.37.0-r0.apk2025-10-03 08:43 3.3K 
[   ]gitu-0.37.0-r0.apk2025-10-03 08:43 4.9M 
[   ]git2json-pyc-0.2.3-r8.apk2024-10-25 20:47 5.4K 
[   ]git2json-0.2.3-r8.apk2024-10-25 20:47 7.2K 
[   ]git-secret-doc-0.5.0-r0.apk2024-10-25 20:47 17K 
[   ]git-secret-0.5.0-r0.apk2024-10-25 20:47 14K 
[   ]git-revise-pyc-0.7.0-r5.apk2024-10-25 20:47 42K 
[   ]git-revise-doc-0.7.0-r5.apk2024-10-25 20:47 4.7K 
[   ]git-revise-0.7.0-r5.apk2024-10-25 20:47 24K 
[   ]git-quick-stats-doc-2.8.0-r0.apk2025-09-11 08:19 3.2K 
[   ]git-quick-stats-2.8.0-r0.apk2025-09-11 08:19 15K 
[   ]git-graph-doc-0.6.0-r0.apk2024-11-25 23:42 6.0K 
[   ]git-graph-0.6.0-r0.apk2024-11-25 23:42 937K 
[   ]git-extras-doc-7.4.0-r0.apk2025-07-22 20:36 65K 
[   ]git-extras-bash-completion-7.4.0-r0.apk2025-07-22 20:36 2.6K 
[   ]git-extras-7.4.0-r0.apk2025-07-22 20:36 57K 
[   ]git-bug-zsh-completion-0.8.1-r5.apk2025-10-08 22:25 3.8K 
[   ]git-bug-fish-completion-0.8.1-r5.apk2025-10-08 22:25 4.1K 
[   ]git-bug-doc-0.8.1-r5.apk2025-10-08 22:25 17K 
[   ]git-bug-bash-completion-0.8.1-r5.apk2025-10-08 22:25 5.0K 
[   ]git-bug-0.8.1-r5.apk2025-10-08 22:25 9.6M 
[   ]gingerbase-pyc-2.3.0-r7.apk2024-10-25 20:47 61K 
[   ]gingerbase-lang-2.3.0-r7.apk2024-10-25 20:47 53K 
[   ]gingerbase-2.3.0-r7.apk2024-10-25 20:47 195K 
[   ]ginger-pyc-2.4.0-r7.apk2024-10-25 20:47 207K 
[   ]ginger-lang-2.4.0-r7.apk2024-10-25 20:47 125K 
[   ]ginger-2.4.0-r7.apk2024-10-25 20:47 257K 
[   ]ginac-doc-1.8.9-r0.apk2025-05-25 07:56 97K 
[   ]ginac-dev-1.8.9-r0.apk2025-05-25 07:56 68K 
[   ]ginac-1.8.9-r0.apk2025-05-25 07:56 1.2M 
[   ]gimp-plugin-gmic-3.6.0-r0.apk2025-08-22 12:12 1.5M 
[   ]ghq-zsh-completion-1.8.0-r5.apk2025-10-08 22:25 2.2K 
[   ]ghq-fish-completion-1.8.0-r5.apk2025-10-08 22:25 2.3K 
[   ]ghq-doc-1.8.0-r5.apk2025-10-08 22:25 5.2K 
[   ]ghq-bash-completion-1.8.0-r5.apk2025-10-08 22:25 1.5K 
[   ]ghq-1.8.0-r5.apk2025-10-08 22:25 3.6M 
[   ]ghc-filesystem-1.5.14-r0.apk2024-10-25 20:47 38K 
[   ]gfan-0.6.2-r1.apk2024-10-25 20:47 1.8M 
[   ]gf2x-dev-1.3.0-r1.apk2024-10-25 20:47 65K 
[   ]gf2x-1.3.0-r1.apk2024-10-25 20:47 42K 
[   ]getting-things-gnome-lang-0.6-r4.apk2024-12-08 21:45 228K 
[   ]getting-things-gnome-doc-0.6-r4.apk2024-12-08 21:45 497K 
[   ]getting-things-gnome-0.6-r4.apk2024-12-08 21:45 715K 
[   ]getssl-2.48-r0.apk2024-10-25 20:47 82K 
[   ]getmail6-pyc-6.19.10-r0.apk2025-08-19 11:29 103K 
[   ]getmail6-doc-6.19.10-r0.apk2025-08-19 11:29 138K 
[   ]getmail6-6.19.10-r0.apk2025-08-19 11:29 70K 
[   ]geonames-lang-0.3.1-r2.apk2024-10-25 20:47 4.6M 
[   ]geonames-doc-0.3.1-r2.apk2024-10-25 20:47 12K 
[   ]geonames-dev-0.3.1-r2.apk2024-10-25 20:47 2.8K 
[   ]geonames-0.3.1-r2.apk2024-10-25 20:47 827K 
[   ]geomyidae-openrc-0.34-r2.apk2024-10-25 20:47 1.7K 
[   ]geomyidae-doc-0.34-r2.apk2024-10-25 20:47 7.4K 
[   ]geomyidae-0.34-r2.apk2024-10-25 20:47 16K 
[   ]geodns-openrc-3.3.0-r17.apk2025-10-08 22:25 1.5K 
[   ]geodns-logs-3.3.0-r17.apk2025-10-08 22:25 4.3M 
[   ]geodns-3.3.0-r17.apk2025-10-08 22:25 4.7M 
[   ]geoclue-stumbler-1.1-r0.apk2025-10-04 17:04 45K 
[   ]genact-1.4.2-r0.apk2024-10-25 20:47 1.4M 
[   ]gede-2.18.2-r1.apk2024-10-25 20:47 272K 
[   ]gearmand-openrc-1.1.22-r0.apk2025-09-06 17:17 1.5K 
[   ]gearmand-doc-1.1.22-r0.apk2025-09-06 17:17 189K 
[   ]gearmand-1.1.22-r0.apk2025-09-06 17:17 189K 
[   ]gearman-libs-1.1.22-r0.apk2025-09-06 17:17 90K 
[   ]gearman-dev-1.1.22-r0.apk2025-09-06 17:17 1.0M 
[   ]gdcm-dev-3.2.1-r0.apk2025-10-03 08:43 450K 
[   ]gdcm-3.2.1-r0.apk2025-10-03 08:43 421K 
[   ]gcli-doc-2.6.1-r0.apk2025-01-26 17:30 28K 
[   ]gcli-2.6.1-r0.apk2025-01-26 17:30 126K 
[   ]gb-0.4.4-r35.apk2025-10-08 22:25 6.9M 
[   ]gaupol-pyc-1.12-r2.apk2024-10-25 20:47 419K 
[   ]gaupol-lang-1.12-r2.apk2024-10-25 20:47 276K 
[   ]gaupol-doc-1.12-r2.apk2024-10-25 20:47 2.1K 
[   ]gaupol-1.12-r2.apk2024-10-25 20:47 276K 
[   ]gatling-openrc-0.16-r6.apk2024-10-25 20:47 2.5K 
[   ]gatling-doc-0.16-r6.apk2024-10-25 20:47 8.8K 
[   ]gatling-0.16-r6.apk2024-10-25 20:47 149K 
[   ]gammastep-pyc-2.0.9-r3.apk2024-10-25 20:47 17K 
[   ]gammastep-lang-2.0.9-r3.apk2024-10-25 20:47 77K 
[   ]gammastep-doc-2.0.9-r3.apk2024-10-25 20:47 14K 
[   ]gammastep-2.0.9-r3.apk2024-10-25 20:47 90K 
[   ]gamemode-doc-1.8.2-r0.apk2025-02-05 23:41 7.3K 
[   ]gamemode-dev-1.8.2-r0.apk2025-02-05 23:41 4.8K 
[   ]gamemode-1.8.2-r0.apk2025-02-05 23:41 77K 
[   ]game-devices-udev-0.23-r0.apk2024-11-12 10:59 5.9K 
[   ]gambit-doc-4.9.5-r1.apk2025-04-07 07:48 4.1K 
[   ]gambit-dev-4.9.5-r1.apk2025-04-07 07:48 7.2M 
[   ]gambit-4.9.5-r1.apk2025-04-07 07:48 7.3M 
[   ]fynedesk-0.4.0-r1.apk2025-10-08 22:25 13M 
[   ]fxfloorboard-katana-mk2-doc-20240515-r1.apk2024-10-25 20:47 1.1M 
[   ]fxfloorboard-katana-mk2-20240515-r1.apk2024-10-25 20:47 5.5M 
[   ]fuzzylite-libs-6.0-r2.apk2025-01-31 21:54 354K 
[   ]fuzzylite-doc-6.0-r2.apk2025-01-31 21:54 1.8K 
[   ]fuzzylite-dev-6.0-r2.apk2025-01-31 21:54 68K 
[   ]fuzzylite-6.0-r2.apk2025-01-31 21:54 4.3K 
[   ]fusesoc-pyc-2.3-r0.apk2024-10-25 20:47 89K 
[   ]fusesoc-2.3-r0.apk2024-10-25 20:47 46K 
[   ]fusee-nano-udev-0.5.3-r1.apk2024-10-25 20:47 1.4K 
[   ]fusee-nano-0.5.3-r1.apk2024-10-25 20:47 21K 
[   ]fungw-tcl-1.2.2-r0.apk2025-10-03 08:43 14K 
[   ]fungw-python3-1.2.2-r0.apk2025-10-03 08:43 28K 
[   ]fungw-perl-1.2.2-r0.apk2025-10-03 08:43 50K 
[   ]fungw-mujs-1.2.2-r0.apk2025-10-03 08:43 18K 
[   ]fungw-lua-1.2.2-r0.apk2025-10-03 08:43 16K 
[   ]fungw-fawk-1.2.2-r0.apk2025-10-03 08:43 111K 
[   ]fungw-duktape-1.2.2-r0.apk2025-10-03 08:43 18K 
[   ]fungw-doc-1.2.2-r0.apk2025-10-03 08:43 13K 
[   ]fungw-dev-1.2.2-r0.apk2025-10-03 08:43 7.6K 
[   ]fungw-cli-1.2.2-r0.apk2025-10-03 08:43 24K 
[   ]fungw-c-1.2.2-r0.apk2025-10-03 08:43 8.3K 
[   ]fungw-1.2.2-r0.apk2025-10-03 08:43 14K 
[   ]fulcrum-doc-1.9.8-r1.apk2024-10-25 20:47 21K 
[   ]fulcrum-admin-1.9.8-r1.apk2024-10-25 20:47 7.7K 
[   ]fulcrum-1.9.8-r1.apk2024-10-25 20:47 887K 
[   ]freshrss-themes-1.23.1-r1.apk2024-10-25 20:47 1.5M 
[   ]freshrss-sqlite-1.23.1-r1.apk2024-10-25 20:47 1.2K 
[   ]freshrss-pgsql-1.23.1-r1.apk2024-10-25 20:47 1.2K 
[   ]freshrss-openrc-1.23.1-r1.apk2024-10-25 20:47 2.3K 
[   ]freshrss-mysql-1.23.1-r1.apk2024-10-25 20:47 1.2K 
[   ]freshrss-lang-1.23.1-r1.apk2024-10-25 20:47 379K 
[   ]freshrss-doc-1.23.1-r1.apk2024-10-25 20:47 751K 
[   ]freshrss-1.23.1-r1.apk2024-10-25 20:47 1.5M 
[   ]frescobaldi-pyc-3.3.0-r1.apk2024-10-25 20:47 1.2M 
[   ]frescobaldi-doc-3.3.0-r1.apk2024-10-25 20:47 2.2K 
[   ]frescobaldi-3.3.0-r1.apk2024-10-25 20:47 3.5M 
[   ]freediameter-libfdproto-1.5.0-r1.apk2024-10-25 20:47 81K 
[   ]freediameter-libfdcore-1.5.0-r1.apk2024-10-25 20:47 149K 
[   ]freediameter-extensions-1.5.0-r1.apk2024-10-25 20:47 363K 
[   ]freediameter-dev-1.5.0-r1.apk2024-10-25 20:47 54K 
[   ]freediameter-1.5.0-r1.apk2024-10-25 20:47 9.0K 
[   ]freealut-dev-1.1.0-r1.apk2024-10-25 20:47 25K 
[   ]freealut-1.1.0-r1.apk2024-10-25 20:47 19K 
[   ]fpp-doc-0.9.5-r0.apk2024-10-25 20:47 5.6K 
[   ]fpp-0.9.5-r0.apk2024-10-25 20:47 29K 
[   ]fplll-strategies-5.5.0-r0.apk2024-11-17 04:09 1.7M 
[   ]fplll-static-5.5.0-r0.apk2024-11-17 04:09 8.7M 
[   ]fplll-libs-5.5.0-r0.apk2024-11-17 04:09 7.8M 
[   ]fplll-dev-5.5.0-r0.apk2024-11-17 04:09 78K 
[   ]fplll-5.5.0-r0.apk2024-11-17 04:09 56K 
[   ]fpc-stage0-3.2.2-r3.apk2024-10-25 20:47 6.8M 
[   ]formiko-pyc-1.5.0-r0.apk2025-08-15 09:06 61K 
[   ]formiko-doc-1.5.0-r0.apk2025-08-15 09:06 8.3K 
[   ]formiko-1.5.0-r0.apk2025-08-15 09:06 107K 
[   ]foolsm-openrc-1.0.21-r0.apk2024-10-25 20:47 1.5K 
[   ]foolsm-doc-1.0.21-r0.apk2024-10-25 20:47 3.9K 
[   ]foolsm-1.0.21-r0.apk2024-10-25 20:47 34K 
[   ]font-tiresias-doc-0_git20200704-r0.apk2024-10-25 20:47 58K 
[   ]font-tiresias-0_git20200704-r0.apk2024-10-25 20:47 568K 
[   ]font-tinos-0_git20210228-r0.apk2024-10-25 20:47 198K 
[   ]font-terminus-ttf-4.49.3-r0.apk2025-07-11 19:54 538K 
[   ]font-tamzen-1.11.5-r1.apk2024-10-25 20:47 62K 
[   ]font-stix-ttf-2.13-r0.apk2024-10-25 20:47 430K 
[   ]font-stix-otf-2.13-r0.apk2024-10-25 20:47 2.0M 
[   ]font-siji-20190218_git-r2.apk2024-10-25 20:47 24K 
[   ]font-openmoji-16.0.0-r0.apk2025-08-11 06:32 1.4M 
[   ]font-monocraft-4.0-r0.apk2024-10-25 20:47 676K 
[   ]font-monaspace-xenon-1.101-r0.apk2024-10-25 20:47 2.3M 
[   ]font-monaspace-radon-1.101-r0.apk2024-10-25 20:47 2.7M 
[   ]font-monaspace-neon-1.101-r0.apk2024-10-25 20:47 2.1M 
[   ]font-monaspace-krypton-1.101-r0.apk2024-10-25 20:47 2.1M 
[   ]font-monaspace-argon-1.101-r0.apk2024-10-25 20:47 2.2M 
[   ]font-monaspace-1.101-r0.apk2024-10-25 20:47 1.2K 
[   ]font-material-icons-4.0.0-r0.apk2024-10-25 20:47 652K 
[   ]font-katex-0.16.2-r0.apk2024-10-25 20:47 852K 
[   ]font-intel-one-mono-1.3.0-r0.apk2024-10-25 20:47 281K 
[   ]font-hanazono-20170904-r2.apk2025-10-03 08:43 29M 
[   ]font-fontawesome-4-4.7.0-r3.apk2024-10-25 20:47 205K 
[   ]font-firamath-0.3.4-r0.apk2024-10-25 20:47 118K 
[   ]font-fira-code-vf-6.2-r0.apk2024-10-25 20:47 145K 
[   ]font-fira-code-6.2-r0.apk2024-10-25 20:47 836K 
[   ]font-fantasque-sans-normal-1.8.0-r0.apk2024-10-25 20:47 316K 
[   ]font-fantasque-sans-noloopk-1.8.0-r0.apk2024-10-25 20:47 316K 
[   ]font-fantasque-sans-largelineheightnoloopk-1.8.0-r0.apk2024-10-25 20:47 316K 
[   ]font-fantasque-sans-largelineheight-1.8.0-r0.apk2024-10-25 20:47 316K 
[   ]font-fantasque-sans-doc-1.8.0-r0.apk2024-10-25 20:47 5.5K 
[   ]font-fantasque-sans-1.8.0-r0.apk2024-10-25 20:47 1.2K 
[   ]font-cousine-0_git20210228-r0.apk2024-10-25 20:47 110K 
[   ]font-commit-mono-1.143-r0.apk2024-10-25 20:47 251K 
[   ]font-comic-neue-doc-2.51-r0.apk2024-10-25 20:47 1.0M 
[   ]font-comic-neue-2.51-r0.apk2024-10-25 20:47 249K 
[   ]font-chivo-mono-0_git20221110-r0.apk2024-10-25 20:47 626K 
[   ]font-chivo-0_git20221110-r0.apk2024-10-25 20:47 792K 
[   ]font-cascadia-mono-2407.24-r1.apk2025-05-27 14:37 507K 
[   ]font-cascadia-code-2407.24-r1.apk2025-05-27 14:37 526K 
[   ]font-cascadia-2407.24-r1.apk2025-05-27 14:37 1.0K 
[   ]font-babelstone-han-15.1.3-r0.apk2024-10-25 20:47 18M 
[   ]font-aref-ruqaa-1.006-r0.apk2025-04-12 12:25 357K 
[   ]font-anonymous-pro-1.002-r2.apk2024-10-25 20:47 264K 
[   ]font-andika-six-6.210-r0.apk2025-10-03 08:43 1.5M 
[   ]foma-dev-0.10.0_git20240712-r0.apk2024-10-25 20:47 8.2K 
[   ]foma-0.10.0_git20240712-r0.apk2024-10-25 20:47 346K 
[   ]fnf-doc-0.1-r0.apk2024-10-25 20:47 4.3K 
[   ]fnf-0.1-r0.apk2024-10-25 20:47 20K 
[   ]flowd-openrc-0.9.1-r11.apk2025-06-30 09:24 1.6K 
[   ]flowd-doc-0.9.1-r11.apk2025-06-30 09:24 9.8K 
[   ]flowd-dev-0.9.1-r11.apk2025-06-30 09:24 7.8K 
[   ]flowd-0.9.1-r11.apk2025-06-30 09:24 74K 
[   ]flintqs-1.0-r1.apk2024-10-25 20:47 22K 
[   ]flint-dev-3.3.1-r0.apk2025-06-17 04:30 311K 
[   ]flint-3.3.1-r0.apk2025-06-17 04:30 4.6M 
[   ]flightgear-zsh-completion-2024.1.1-r0.apk2025-03-05 00:21 7.1K 
[   ]flightgear-doc-2024.1.1-r0.apk2025-03-05 00:21 58K 
[   ]flightgear-dbg-2024.1.1-r0.apk2025-03-05 00:21 22M 
[   ]flightgear-bash-completion-2024.1.1-r0.apk2025-03-05 00:21 5.2K 
[   ]flightgear-2024.1.1-r0.apk2025-03-05 00:21 11M 
[   ]flawz-zsh-completion-0.3.0-r0.apk2024-11-03 21:10 2.0K 
[   ]flawz-fish-completion-0.3.0-r0.apk2024-11-03 21:10 1.7K 
[   ]flawz-doc-0.3.0-r0.apk2024-11-03 21:10 5.7K 
[   ]flawz-bash-completion-0.3.0-r0.apk2024-11-03 21:10 1.9K 
[   ]flawz-0.3.0-r0.apk2024-11-03 21:10 1.3M 
[   ]flauschige-uhr-0.1-r1.apk2024-10-25 20:47 4.3K 
[   ]flatseal-lang-2.3.1-r0.apk2025-06-19 15:30 79K 
[   ]flatseal-doc-2.3.1-r0.apk2025-06-19 15:30 8.2K 
[   ]flatseal-2.3.1-r0.apk2025-06-19 15:30 43K 
[   ]flare-game-1.14-r0.apk2024-10-25 20:47 1.9K 
[   ]flare-engine-doc-1.14-r0.apk2024-10-25 20:47 2.2K 
[   ]flare-engine-1.14-r0.apk2024-10-25 20:47 4.6M 
[   ]flann-doc-1.9.2-r1.apk2025-02-18 22:21 2.3K 
[   ]flann-dev-1.9.2-r1.apk2025-02-18 22:21 1.0M 
[   ]flann-1.9.2-r1.apk2025-02-18 22:21 1.7M 
[   ]flang-next-static-22.0.0_pre20250926-r0.apk2025-10-03 08:43 45M 
[   ]flang-next-dev-22.0.0_pre20250926-r0.apk2025-10-03 08:43 1.0M 
[   ]flang-next-22.0.0_pre20250926-r0.apk2025-10-03 08:43 25M 
[   ]flamelens-doc-0.3.1-r0.apk2025-04-29 08:21 3.4K 
[   ]flamelens-0.3.1-r0.apk2025-04-29 08:21 1.2M 
[   ]firehol-openrc-3.1.7-r2.apk2024-10-25 20:47 1.8K 
[   ]firehol-doc-3.1.7-r2.apk2024-10-25 20:47 674K 
[   ]firehol-3.1.7-r2.apk2024-10-25 20:47 84K 
[   ]finger-doc-0.5-r0.apk2024-10-25 20:47 3.5K 
[   ]finger-0.5-r0.apk2024-10-25 20:47 8.7K 
[   ]findtow-0.1-r0.apk2024-10-25 20:47 5.1K 
[   ]fileshelter-openrc-6.2.0-r4.apk2025-10-03 08:43 1.4K 
[   ]fileshelter-6.2.0-r4.apk2025-10-03 08:43 323K 
[   ]filebrowser-openrc-2.27.0-r15.apk2025-10-08 22:25 1.6K 
[   ]filebrowser-2.27.0-r15.apk2025-10-08 22:25 7.2M 
[   ]filebeat-openrc-8.14.2-r9.apk2025-10-08 22:25 1.7K 
[   ]filebeat-8.14.2-r9.apk2025-10-08 22:25 28M 
[   ]fildesh-vim-0.2.0-r0.apk2024-10-25 20:47 3.3K 
[   ]fildesh-doc-0.2.0-r0.apk2024-10-25 20:47 1.8K 
[   ]fildesh-0.2.0-r0.apk2024-10-25 20:47 72K 
[   ]fheroes2-lang-1.1.11-r0.apk2025-10-03 08:43 1.7M 
[   ]fheroes2-1.1.11-r0.apk2025-10-03 08:43 1.7M 
[   ]ffsend-zsh-completion-0.2.76-r4.apk2024-10-25 20:47 4.3K 
[   ]ffsend-fish-completion-0.2.76-r4.apk2024-10-25 20:47 3.3K 
[   ]ffsend-bash-completion-0.2.76-r4.apk2024-10-25 20:47 3.4K 
[   ]ffsend-0.2.76-r4.apk2024-10-25 20:47 1.6M 
[   ]ffms2-doc-5.0-r2.apk2025-10-03 08:43 30K 
[   ]ffms2-dev-5.0-r2.apk2025-10-03 08:43 7.3K 
[   ]ffms2-5.0-r2.apk2025-10-03 08:43 75K 
[   ]ffmpeg4-libswscale-4.4.5-r3.apk2025-10-03 08:43 203K 
[   ]ffmpeg4-libswresample-4.4.5-r3.apk2025-10-03 08:43 47K 
[   ]ffmpeg4-libpostproc-4.4.5-r3.apk2025-10-03 08:43 64K 
[   ]ffmpeg4-libavutil-4.4.5-r3.apk2025-10-03 08:43 338K 
[   ]ffmpeg4-libavformat-4.4.5-r3.apk2025-10-03 08:43 1.4M 
[   ]ffmpeg4-libavfilter-4.4.5-r3.apk2025-10-03 08:43 1.8M 
[   ]ffmpeg4-libavdevice-4.4.5-r3.apk2025-10-03 08:43 54K 
[   ]ffmpeg4-libavcodec-4.4.5-r3.apk2025-10-03 08:43 7.4M 
[   ]ffmpeg4-dev-4.4.5-r3.apk2025-10-03 08:43 280K 
[   ]ffmpeg4-4.4.5-r3.apk2025-10-03 08:43 42K 
[   ]fflas-ffpack-2.5.0-r3.apk2024-10-25 20:47 345K 
[   ]fff-doc-2.2-r0.apk2024-10-25 20:47 9.0K 
[   ]fff-2.2-r0.apk2024-10-25 20:47 11K 
[   ]femto-doc-2.24.1-r0.apk2025-09-06 17:16 47K 
[   ]femto-2.24.1-r0.apk2025-09-06 17:16 66K 
[   ]felix-2.16.1-r0.apk2025-05-16 11:14 711K 
[   ]featherpad-lang-1.5.1-r0.apk2024-10-25 20:47 462K 
[   ]featherpad-1.5.1-r0.apk2024-10-25 20:47 746K 
[   ]fdm-materials-5.2.2-r1.apk2024-10-25 20:47 59K 
[   ]fceux-doc-2.6.6-r4.apk2025-10-03 08:43 104K 
[   ]fceux-2.6.6-r4.apk2025-10-03 08:43 2.9M 
[   ]fbcur-doc-1.0.1-r1.apk2024-10-25 20:47 1.9K 
[   ]fbcur-1.0.1-r1.apk2024-10-25 20:47 6.6K 
[   ]fava-pyc-1.28-r0.apk2024-10-25 20:47 164K 
[   ]fava-1.28-r0.apk2024-10-25 20:47 1.1M 
[   ]faust-vim-2.79.3-r0.apk2025-06-07 18:47 2.3K 
[   ]faust-tools-2.79.3-r0.apk2025-06-07 18:47 123K 
[   ]faust-static-2.79.3-r0.apk2025-06-07 18:47 563K 
[   ]faust-doc-2.79.3-r0.apk2025-06-07 18:47 17M 
[   ]faust-dev-2.79.3-r0.apk2025-06-07 18:47 1.4M 
[   ]faust-2.79.3-r0.apk2025-06-07 18:47 7.9M 
[   ]faultstat-doc-0.01.11-r0.apk2024-10-25 20:47 2.8K 
[   ]faultstat-bash-completion-0.01.11-r0.apk2024-10-25 20:47 2.0K 
[   ]faultstat-0.01.11-r0.apk2024-10-25 20:47 14K 
[   ]fatresize-doc-1.1.0-r1.apk2024-10-25 20:47 15K 
[   ]fatresize-1.1.0-r1.apk2024-10-25 20:47 8.6K 
[   ]fatrace-doc-0.18.0-r0.apk2025-07-27 19:30 3.1K 
[   ]fatrace-0.18.0-r0.apk2025-07-27 19:30 11K 
[   ]fathom-1.3.1-r17.apk2025-10-08 22:25 4.7M 
[   ]fatback-doc-1.3-r2.apk2024-10-25 20:47 16K 
[   ]fatback-1.3-r2.apk2024-10-25 20:47 29K 
[   ]fastd-openrc-23-r0.apk2025-01-27 21:33 1.4K 
[   ]fastd-doc-23-r0.apk2025-01-27 21:33 3.0K 
[   ]fastd-23-r0.apk2025-01-27 21:33 73K 
[   ]fakeroot-tcp-1.32.1-r1.apk2024-10-25 20:47 30K 
[   ]fabric-pyc-3.2.2-r1.apk2024-10-25 20:47 60K 
[   ]fabric-3.2.2-r1.apk2024-10-25 20:47 54K 
[   ]extundelete-0.2.4-r1.apk2024-10-25 20:47 40K 
[   ]extremetuxracer-doc-0.8.3-r0.apk2024-10-25 20:47 6.4K 
[   ]extremetuxracer-0.8.3-r0.apk2024-10-25 20:47 40M 
[   ]extrace-doc-0.9-r0.apk2024-10-25 20:47 3.3K 
[   ]extrace-0.9-r0.apk2024-10-25 20:47 11K 
[   ]exercism-zsh-completion-3.2.0-r17.apk2025-10-08 22:25 1.9K 
[   ]exercism-fish-completion-3.2.0-r17.apk2025-10-08 22:25 2.1K 
[   ]exercism-bash-completion-3.2.0-r17.apk2025-10-08 22:25 1.7K 
[   ]exercism-3.2.0-r17.apk2025-10-08 22:25 4.0M 
[   ]exabgp-pyc-4.2.24-r1.apk2025-09-06 17:16 778K 
[   ]exabgp-openrc-4.2.24-r1.apk2025-09-06 17:16 2.0K 
[   ]exabgp-doc-4.2.24-r1.apk2025-09-06 17:16 7.8K 
[   ]exabgp-4.2.24-r1.apk2025-09-06 17:16 385K 
[   ]evolution-on-3.24.4-r1.apk2025-10-03 08:43 11K 
[   ]evolution-etesync-lang-1.1.1-r0.apk2025-10-03 08:43 19K 
[   ]evolution-etesync-1.1.1-r0.apk2025-10-03 08:43 67K 
[   ]eva-0.3.1-r2.apk2024-10-25 20:47 659K 
[   ]ettercap-doc-0.8.3.1-r3.apk2024-10-25 20:47 45K 
[   ]ettercap-0.8.3.1-r3.apk2024-10-25 20:47 611K 
[   ]esptool-pyc-4.8.1-r0.apk2024-10-25 20:47 549K 
[   ]esptool-4.8.1-r0.apk2024-10-25 20:47 424K 
[   ]espeakup-openrc-0.90-r2.apk2024-10-25 20:47 1.6K 
[   ]espeakup-0.90-r2.apk2024-10-25 20:47 12K 
[   ]errands-lang-46.2.8-r0.apk2025-05-22 14:44 71K 
[   ]errands-46.2.8-r0.apk2025-05-22 14:44 84K 
[   ]ergo-ldap-doc-0.0.1-r21.apk2025-10-08 22:25 2.0K 
[   ]ergo-ldap-0.0.1-r21.apk2025-10-08 22:25 2.1M 
[   ]epr-pyc-2.4.15-r1.apk2024-10-25 20:47 24K 
[   ]epr-2.4.15-r1.apk2024-10-25 20:47 15K 
[   ]epoch-1.3.0-r2.apk2024-10-25 20:47 54K 
[   ]epic6-script-0_git20250821-r0.apk2025-09-06 17:16 149K 
[   ]epic6-doc-0_git20250821-r0.apk2025-09-06 17:16 17K 
[   ]epic6-0_git20250821-r0.apk2025-09-06 17:16 383K 
[   ]envsubst-0.1-r1.apk2024-10-25 20:47 5.1K 
[   ]envconsul-0.13.3-r7.apk2025-10-08 22:25 4.7M 
[   ]enlighten-doc-0.9.2-r1.apk2024-10-25 20:47 3.2K 
[   ]enlighten-0.9.2-r1.apk2024-10-25 20:47 7.9K 
[   ]enjoy-0.3-r1.apk2024-10-25 20:47 12K 
[   ]endlessh-doc-1.1-r1.apk2025-05-25 07:56 2.4K 
[   ]endlessh-1.1-r1.apk2025-05-25 07:56 9.5K 
[   ]endless-sky-doc-0.10.2-r0.apk2024-10-25 20:47 36K 
[   ]endless-sky-0.10.2-r0.apk2024-10-25 20:47 242M 
[   ]endeavour-lang-43.0-r2.apk2024-12-08 21:45 203K 
[   ]endeavour-doc-43.0-r2.apk2024-12-08 21:45 68K 
[   ]endeavour-dev-43.0-r2.apk2024-12-08 21:45 45K 
[   ]endeavour-43.0-r2.apk2024-12-08 21:45 199K 
[   ]emulationstation-theme-gbz35-2.11.2-r1.apk2024-10-25 20:46 3.3M 
[   ]emulationstation-2.11.2-r1.apk2024-10-25 20:46 1.3M 
[   ]empede-openrc-0.2.3-r0.apk2024-10-25 20:46 1.7K 
[   ]empede-doc-0.2.3-r0.apk2024-10-25 20:46 2.0K 
[   ]empede-0.2.3-r0.apk2024-10-25 20:46 2.1M 
[   ]emacs-total-recall-examples-0_git20250426-r0.apk2025-05-04 02:50 14K 
[   ]emacs-total-recall-0_git20250426-r0.apk2025-05-04 02:50 17K 
[   ]emacs-taxy-magit-section-0.14.3-r0.apk2025-04-23 05:43 17K 
[   ]emacs-taxy-0.10.2-r0.apk2025-04-23 05:43 11K 
[   ]emacs-svg-lib-0_git20240219-r0.apk2024-10-25 20:46 19K 
[   ]emacs-sqlite3-api-0.18-r0.apk2024-10-25 20:46 18K 
[   ]emacs-powerline-2.4_git20221110-r0.apk2024-10-25 20:46 29K 
[   ]emacs-persist-0.6_git20240114-r0.apk2024-10-25 20:46 6.4K 
[   ]emacs-lsp-booster-doc-0.2.1-r0.apk2025-04-12 12:21 2.0K 
[   ]emacs-lsp-booster-0.2.1-r0.apk2025-04-12 12:21 462K 
[   ]emacs-llama-1.0.1-r0.apk2025-09-07 06:14 12K 
[   ]emacs-hydra-0.15.0_git20220910-r0.apk2024-10-25 20:46 46K 
[   ]emacs-hnreader-0_git20221116-r0.apk2024-10-25 20:46 9.5K 
[   ]emacs-helm-3.9.7_git20240329-r0.apk2024-10-25 20:46 815K 
[   ]emacs-hackernews-0.7.0-r0.apk2024-10-25 20:46 15K 
[   ]emacs-gnosis-0.3.2-r0.apk2024-10-25 20:46 62K 
[   ]emacs-fossil-0_git20230504-r0.apk2024-10-25 20:46 14K 
[   ]emacs-epkg-3.3.3_git20240713-r0.apk2024-10-25 20:46 37K 
[   ]emacs-ement-0.16-r0.apk2025-04-23 05:12 290K 
[   ]emacs-embark-consult-1.1-r0.apk2025-04-23 05:43 10K 
[   ]emacs-embark-1.1-r0.apk2025-04-23 05:43 111K 
[   ]emacs-emacsql-sqlite-module-3.1.1_git20240714-r0.apk2024-10-25 20:46 4.0K 
[   ]emacs-emacsql-sqlite-3.1.1_git20240714-r0.apk2024-10-25 20:46 18K 
[   ]emacs-emacsql-psql-3.1.1_git20240714-r0.apk2024-10-25 20:46 5.6K 
[   ]emacs-emacsql-mysql-3.1.1_git20240714-r0.apk2024-10-25 20:46 5.8K 
[   ]emacs-emacsql-3.1.1_git20240714-r0.apk2024-10-25 20:46 22K 
[   ]emacs-elfeed-3.4.2-r0.apk2025-04-23 05:12 90K 
[   ]emacs-derl-0_git20231004-r1.apk2025-07-29 04:36 23K 
[   ]emacs-consult-1.4_git20240405-r0.apk2024-10-25 20:46 137K 
[   ]emacs-closql-1.2.1_git20240712-r0.apk2024-10-25 20:46 14K 
[   ]emacs-centaur-tabs-3.2_git20230601-r0.apk2024-10-25 20:46 55K 
[   ]emacs-avy-embark-collect-1.1-r0.apk2025-04-23 05:43 3.6K 
[   ]emacs-avy-0.5.0_git20230420-r0.apk2024-10-25 20:46 43K 
[   ]emacs-ace-window-0.10.0_git20220911-r0.apk2024-10-25 20:46 23K 
[   ]elfio-dev-3.12-r0.apk2024-10-25 20:46 55K 
[   ]elfio-3.12-r0.apk2024-10-25 20:46 1.2K 
[   ]elf_diff-pyc-0.7.1-r3.apk2024-10-25 20:46 108K 
[   ]elf_diff-0.7.1-r3.apk2024-10-25 20:46 108K 
[   ]elementary-videos-lang-8.0.2-r0.apk2025-09-02 02:28 83K 
[   ]elementary-videos-8.0.2-r0.apk2025-09-02 02:28 118K 
[   ]elementary-theme-8.1.0-r0.apk2025-01-12 20:37 1.5M 
[   ]elementary-sound-theme-1.1.0-r0.apk2024-11-10 22:08 83K 
[   ]elementary-settings-daemon-openrc-8.3.0-r0.apk2025-06-15 03:44 1.5K 
[   ]elementary-settings-daemon-lang-8.3.0-r0.apk2025-06-15 03:44 74K 
[   ]elementary-settings-daemon-8.3.0-r0.apk2025-06-15 03:44 85K 
[   ]elementary-photos-lang-8.0.1-r0.apk2024-12-02 23:04 1.0M 
[   ]elementary-photos-8.0.1-r0.apk2024-12-02 23:04 1.2M 
[   ]elementary-music-lang-8.0.0-r0.apk2024-10-28 22:07 47K 
[   ]elementary-music-8.0.0-r0.apk2024-10-28 22:07 75K 
[   ]elementary-icon-theme-8.1.0-r0.apk2025-05-14 17:28 5.0M 
[   ]elementary-feedback-lang-8.0.1-r0.apk2025-05-14 17:28 46K 
[   ]elementary-feedback-8.0.1-r0.apk2025-05-14 17:28 46K 
[   ]elementary-dock-lang-8.0.2-r0.apk2025-05-24 23:30 27K 
[   ]elementary-dock-8.0.2-r0.apk2025-05-24 23:30 91K 
[   ]elementary-camera-lang-8.0.2-r0.apk2025-09-02 02:26 35K 
[   ]elementary-camera-8.0.2-r0.apk2025-09-02 02:26 88K 
[   ]elementary-calculator-lang-8.0.1-r0.apk2025-09-02 02:30 59K 
[   ]elementary-calculator-8.0.1-r0.apk2025-09-02 02:30 72K 
[   ]elastic-beats-8.14.2-r9.apk2025-10-08 22:25 1.0K 
[   ]eiwd-openrc-3.10-r0.apk2025-10-07 16:34 1.6K 
[   ]eiwd-doc-3.10-r0.apk2025-10-07 16:34 20K 
[   ]eiwd-3.10-r0.apk2025-10-07 16:34 913K 
[   ]efl-gdb-1.28.1-r2.apk2025-03-23 15:04 1.4K 
[   ]efl-dev-1.28.1-r2.apk2025-03-23 15:04 1.8M 
[   ]efl-1.28.1-r2.apk2025-03-23 15:04 34M 
[   ]edward-doc-1.1.0-r0.apk2024-10-25 20:46 5.0K 
[   ]edward-1.1.0-r0.apk2024-10-25 20:46 2.0M 
[   ]edit-doc-1.2.0-r0.apk2025-06-12 19:44 2.0K 
[   ]edit-1.2.0-r0.apk2025-06-12 19:44 270K 
[   ]ecos-dev-2.0.10-r0.apk2024-10-25 20:46 28K 
[   ]ecos-2.0.10-r0.apk2024-10-25 20:46 43K 
[   ]eclipse-ecj-4.37-r0.apk2025-10-03 08:43 2.5M 
[   ]eclib-static-20250627-r0.apk2025-06-28 06:10 20M 
[   ]eclib-libs-20250627-r0.apk2025-06-28 06:10 1.3M 
[   ]eclib-doc-20250627-r0.apk2025-06-28 06:10 28K 
[   ]eclib-dev-20250627-r0.apk2025-06-28 06:10 96K 
[   ]eclib-20250627-r0.apk2025-06-28 06:10 387K 
[   ]eccodes-2.44.0-r0.apk2025-10-09 00:04 11M 
[   ]ecasound-doc-2.9.3-r4.apk2025-02-24 21:25 38K 
[   ]ecasound-dev-2.9.3-r4.apk2025-02-24 21:25 1.2M 
[   ]ecasound-2.9.3-r4.apk2025-02-24 21:25 705K 
[   ]eboard-doc-1.1.3-r1.apk2024-10-25 20:46 4.4K 
[   ]eboard-1.1.3-r1.apk2024-10-25 20:46 1.5M 
[   ]eatmemory-0.1.6-r2.apk2024-10-25 20:46 4.3K 
[   ]e16-lang-1.0.30-r0.apk2024-11-05 13:22 379K 
[   ]e16-doc-1.0.30-r0.apk2024-11-05 13:22 27K 
[   ]e16-1.0.30-r0.apk2024-11-05 13:22 804K 
[   ]dwl-doc-0.7-r0.apk2024-10-25 20:46 2.9K 
[   ]dwl-0.7-r0.apk2024-10-25 20:46 29K 
[   ]dvdbackup-lang-0.4.2-r1.apk2024-10-25 20:46 1.4K 
[   ]dvdbackup-doc-0.4.2-r1.apk2024-10-25 20:46 7.6K 
[   ]dvdbackup-0.4.2-r1.apk2024-10-25 20:46 17K 
[   ]dustracing2d-2.1.1-r1.apk2024-10-25 20:46 5.2M 
[   ]dune-deps-1.3.0-r2.apk2024-10-25 20:46 833K 
[   ]dum-0.1.20-r1.apk2025-03-29 14:01 380K 
[   ]dulcepan-1.0.2-r0.apk2024-10-25 20:46 21K 
[   ]dufs-zsh-completion-0.45.0-r0.apk2025-09-06 17:16 2.5K 
[   ]dufs-fish-completion-0.45.0-r0.apk2025-09-06 17:16 2.2K 
[   ]dufs-doc-0.45.0-r0.apk2025-09-06 17:16 10K 
[   ]dufs-bash-completion-0.45.0-r0.apk2025-09-06 17:16 2.1K 
[   ]dufs-0.45.0-r0.apk2025-09-06 17:16 1.5M 
[   ]duf-doc-0.9.1-r1.apk2025-10-08 22:25 4.5K 
[   ]duf-0.9.1-r1.apk2025-10-08 22:25 1.2M 
[   ]duc-doc-1.4.5-r0.apk2024-10-25 20:46 8.8K 
[   ]duc-1.4.5-r0.apk2024-10-25 20:46 88K 
[   ]dublin-traceroute-doc-0.4.2-r4.apk2024-10-25 20:46 2.0K 
[   ]dublin-traceroute-dev-0.4.2-r4.apk2024-10-25 20:46 6.6K 
[   ]dublin-traceroute-contrib-0.4.2-r4.apk2024-10-25 20:46 2.6K 
[   ]dublin-traceroute-0.4.2-r4.apk2024-10-25 20:46 48K 
[   ]dstask-zsh-completion-0.27-r3.apk2025-10-08 22:25 1.4K 
[   ]dstask-import-0.27-r3.apk2025-10-08 22:25 3.4M 
[   ]dstask-fish-completion-0.27-r3.apk2025-10-08 22:25 1.4K 
[   ]dstask-bash-completion-0.27-r3.apk2025-10-08 22:25 1.8K 
[   ]dstask-0.27-r3.apk2025-10-08 22:25 1.5M 
[   ]dsp-doc-2.0-r2.apk2025-10-03 08:43 9.9K 
[   ]dsp-2.0-r2.apk2025-10-03 08:43 169K 
[   ]drupal7-doc-7.103-r0.apk2024-12-05 11:04 57K 
[   ]drupal7-7.103-r0.apk2024-12-05 11:04 3.3M 
[   ]drumgizmo-0.9.20-r1.apk2024-10-25 20:46 414K 
[   ]dropwatch-doc-1.5.5-r1.apk2025-07-28 14:39 3.4K 
[   ]dropwatch-1.5.5-r1.apk2025-07-28 14:39 18K 
[   ]drone-cli-1.8.0-r14.apk2025-10-08 22:25 5.7M 
[   ]droidcam-gui-2.1.3-r3.apk2025-10-03 08:43 29K 
[   ]droidcam-2.1.3-r3.apk2025-10-03 08:43 19K 
[   ]drogon-doc-1.9.4-r2.apk2025-05-22 06:59 2.0K 
[   ]drogon-dev-1.9.4-r2.apk2025-05-22 06:59 121K 
[   ]drogon-1.9.4-r2.apk2025-05-22 06:59 1.6M 
[   ]draw-0.1.1-r17.apk2025-10-08 22:25 1.0M 
[   ]draco-tools-1.5.7-r2.apk2025-02-18 22:21 1.2M 
[   ]draco-static-1.5.7-r2.apk2025-02-18 22:21 1.5M 
[   ]draco-dev-1.5.7-r2.apk2025-02-18 22:21 204K 
[   ]draco-1.5.7-r2.apk2025-02-18 22:21 855K 
[   ]downloader-cli-0.3.4-r2.apk2025-05-14 18:20 1.7K 
[   ]dooit-pyc-3.2.2-r0.apk2025-05-16 10:33 100K 
[   ]dooit-extras-pyc-0.2.0-r0.apk2024-12-07 20:31 23K 
[   ]dooit-extras-0.2.0-r0.apk2024-12-07 20:31 12K 
[   ]dooit-3.2.2-r0.apk2025-05-16 10:33 45K 
[   ]dolt-1.58.5-r2.apk2025-10-08 22:25 37M 
[   ]dockerize-0.9.6-r1.apk2025-10-08 22:25 3.3M 
[   ]docker-volume-local-persist-openrc-1.3.0-r37.apk2025-10-08 22:25 1.5K 
[   ]docker-volume-local-persist-1.3.0-r37.apk2025-10-08 22:25 2.5M 
[   ]docker-auth-openrc-1.14.0-r1.apk2025-10-08 22:25 1.8K 
[   ]docker-auth-doc-1.14.0-r1.apk2025-10-08 22:25 10K 
[   ]docker-auth-1.14.0-r1.apk2025-10-08 22:25 9.7M 
[   ]doasedit-1.0.8-r0.apk2025-08-06 08:07 3.0K 
[   ]dnssec-tools-doc-2.2.3-r13.apk2025-06-30 09:24 317K 
[   ]dnssec-tools-dev-2.2.3-r13.apk2025-06-30 09:24 192K 
[   ]dnssec-tools-2.2.3-r13.apk2025-06-30 09:24 772K 
[   ]dnsq-0.19.9-r1.apk2025-10-08 22:25 3.7M 
[   ]dnsperf-doc-2.14.0-r0.apk2024-10-25 20:46 35K 
[   ]dnsperf-2.14.0-r0.apk2024-10-25 20:46 71K 
[   ]dnsenum-doc-1.3.2-r0.apk2024-10-25 20:46 5.0K 
[   ]dnsenum-1.3.2-r0.apk2024-10-25 20:46 21K 
[   ]dnscrypt-wrapper-0.4.2-r3.apk2024-10-25 20:46 30K 
[   ]dnscontrol-doc-4.25.0-r1.apk2025-10-08 22:25 2.0K 
[   ]dnscontrol-4.25.0-r1.apk2025-10-08 22:25 14M 
[   ]dnote-zsh-completion-0.15.1-r9.apk2025-10-08 22:25 1.8K 
[   ]dnote-doc-0.15.1-r9.apk2025-10-08 22:25 14K 
[   ]dnote-bash-completion-0.15.1-r9.apk2025-10-08 22:25 1.8K 
[   ]dnote-0.15.1-r9.apk2025-10-08 22:25 4.5M 
[   ]dmenu-wl-doc-0.1-r0.apk2025-07-02 10:33 3.8K 
[   ]dmenu-wl-0.1-r0.apk2025-07-02 10:33 19K 
[   ]dmarc-metrics-exporter-pyc-1.2.0-r0.apk2024-11-29 22:15 46K 
[   ]dmarc-metrics-exporter-openrc-1.2.0-r0.apk2024-11-29 22:15 1.6K 
[   ]dmarc-metrics-exporter-1.2.0-r0.apk2024-11-29 22:15 25K 
[   ]dmarc-cat-0.15.0-r9.apk2025-10-08 22:25 2.7M 
[   ]dlib-dev-19.24.4-r0.apk2024-10-25 20:46 2.4M 
[   ]dlib-19.24.4-r0.apk2024-10-25 20:46 790K 
[   ]dive-0.13.0-r6.apk2025-10-08 22:25 3.7M 
[   ]dislocker-libs-0.7.3-r6.apk2025-07-19 22:57 45K 
[   ]dislocker-doc-0.7.3-r6.apk2025-07-19 22:57 5.8K 
[   ]dislocker-0.7.3-r6.apk2025-07-19 22:57 16K 
[   ]diskus-0.8.0-r0.apk2025-05-18 22:16 364K 
[   ]diskonaut-0.11.0-r3.apk2024-10-25 20:46 473K 
[   ]disfetch-3.7-r0.apk2024-10-25 20:46 8.0K 
[   ]diceware-pyc-1.0.1-r0.apk2025-01-13 22:50 18K 
[   ]diceware-1.0.1-r0.apk2025-01-13 22:50 334K 
[   ]dhewm3-1.5.4-r0.apk2025-02-18 22:21 5.2M 
[   ]dfu-programmer-doc-1.1.0-r0.apk2024-10-25 20:46 5.5K 
[   ]dfu-programmer-bash-completion-1.1.0-r0.apk2024-10-25 20:46 2.5K 
[   ]dfu-programmer-1.1.0-r0.apk2024-10-25 20:46 34K 
[   ]dfl-sni-dev-0.3.0-r0.apk2025-08-21 08:05 4.7K 
[   ]dfl-sni-0.3.0-r0.apk2025-08-21 08:05 63K 
[   ]dfl-login1-dev-0.3.0-r0.apk2025-08-21 08:05 3.4K 
[   ]dfl-login1-0.3.0-r0.apk2025-08-21 08:05 36K 
[   ]dfl-ipc-dev-0.3.0-r0.apk2025-08-21 08:05 4.5K 
[   ]dfl-ipc-0.3.0-r0.apk2025-08-21 08:05 50K 
[   ]dfl-applications-dev-0.3.0-r0.apk2025-08-21 08:05 3.7K 
[   ]dfl-applications-0.3.0-r0.apk2025-08-21 08:05 71K 
[   ]dewduct-0.2.3-r0.apk2024-10-25 20:46 1.2M 
[   ]devil-dev-1.8.0-r0.apk2024-10-25 20:46 13K 
[   ]devil-1.8.0-r0.apk2024-10-25 20:46 286K 
[   ]deviced-openrc-0_git20250427-r0.apk2025-07-05 20:04 1.4K 
[   ]deviced-dev-0_git20250427-r0.apk2025-07-05 20:04 26K 
[   ]deviced-0_git20250427-r0.apk2025-07-05 20:04 130K 
[   ]detox-doc-2.0.0-r0.apk2024-10-25 20:46 20K 
[   ]detox-2.0.0-r0.apk2024-10-25 20:46 110K 
[   ]desync-0.9.6-r9.apk2025-10-08 22:25 7.4M 
[   ]desed-doc-1.2.1-r1.apk2024-10-25 20:46 2.6K 
[   ]desed-1.2.1-r1.apk2024-10-25 20:46 417K 
[   ]dehydrated-0.7.1-r0.apk2024-10-25 20:46 26K 
[   ]decoder-lang-0.7.0-r0.apk2025-04-10 13:25 59K 
[   ]decoder-0.7.0-r0.apk2025-04-10 13:25 2.1M 
[   ]debconf-utils-1.5.82-r0.apk2024-10-25 20:46 6.4K 
[   ]debconf-lang-1.5.82-r0.apk2024-10-25 20:46 132K 
[   ]debconf-doc-1.5.82-r0.apk2024-10-25 20:46 27K 
[   ]debconf-bash-completion-1.5.82-r0.apk2024-10-25 20:46 1.6K 
[   ]debconf-1.5.82-r0.apk2024-10-25 20:46 69K 
[   ]deadbeef-soxr-20180801-r0.apk2024-10-25 20:46 6.6K 
[   ]ddserver-0_git20200930-r1.apk2024-10-25 20:46 13K 
[   ]ddgr-zsh-completion-2.2-r0.apk2024-10-25 20:46 2.4K 
[   ]ddgr-fish-completion-2.2-r0.apk2024-10-25 20:46 2.0K 
[   ]ddgr-doc-2.2-r0.apk2024-10-25 20:46 11K 
[   ]ddgr-bash-completion-2.2-r0.apk2024-10-25 20:46 2.0K 
[   ]ddgr-2.2-r0.apk2024-10-25 20:46 20K 
[   ]ddcci-driver-linux-src-0.4.5-r2.apk2025-03-19 13:43 19K 
[   ]dcnnt-pyc-0.10.0-r1.apk2024-10-25 20:46 61K 
[   ]dcnnt-doc-0.10.0-r1.apk2024-10-25 20:46 6.3K 
[   ]dcnnt-0.10.0-r1.apk2024-10-25 20:46 28K 
[   ]dcmtk-openrc-3.6.9-r0.apk2025-01-19 16:48 1.4K 
[   ]dcmtk-doc-3.6.9-r0.apk2025-01-19 16:48 257K 
[   ]dcmtk-dev-3.6.9-r0.apk2025-01-19 16:48 1.6M 
[   ]dcmtk-3.6.9-r0.apk2025-01-19 16:48 1.3M 
[   ]dbus-broker-doc-37-r0.apk2025-06-17 10:18 5.6K 
[   ]dbus-broker-37-r0.apk2025-06-17 10:18 85K 
[   ]dbmate-doc-2.26.0-r6.apk2025-10-08 22:25 2.0K 
[   ]dbmate-2.26.0-r6.apk2025-10-08 22:25 10M 
[   ]davmail-6.4.0-r0.apk2025-09-02 13:17 9.8M 
[   ]dasht-zsh-completion-2.4.0-r0.apk2024-10-25 20:46 1.8K 
[   ]dasht-doc-2.4.0-r0.apk2024-10-25 20:46 11K 
[   ]dasht-2.4.0-r0.apk2024-10-25 20:46 14K 
[   ]darts-clone-dev-0.32h-r0.apk2025-07-06 07:10 13K 
[   ]darts-clone-0.32h-r0.apk2025-07-06 07:10 43K 
[   ]darkradiant-lang-3.9.0-r0.apk2025-10-03 08:43 37K 
[   ]darkradiant-doc-3.9.0-r0.apk2025-10-03 08:43 2.2M 
[   ]darkradiant-3.9.0-r0.apk2025-10-03 08:43 9.3M 
[   ]daktilo-zsh-completion-0.6.0-r0.apk2024-10-25 20:46 2.0K 
[   ]daktilo-fish-completion-0.6.0-r0.apk2024-10-25 20:46 1.7K 
[   ]daktilo-doc-0.6.0-r0.apk2024-10-25 20:46 8.4K 
[   ]daktilo-bash-completion-0.6.0-r0.apk2024-10-25 20:46 1.9K 
[   ]daktilo-0.6.0-r0.apk2024-10-25 20:46 1.8M 
[   ]daemontools-openrc-0.76-r3.apk2024-10-25 20:46 1.7K 
[   ]daemontools-0.76-r3.apk2024-10-25 20:46 109K 
[   ]cz-viator-hourglass-black-20210706-r0.apk2024-10-25 20:46 219K 
[   ]cyrus-sasl-xoauth2-static-0.2-r1.apk2024-10-25 20:46 7.2K 
[   ]cyrus-sasl-xoauth2-doc-0.2-r1.apk2024-10-25 20:46 2.0K 
[   ]cyrus-sasl-xoauth2-0.2-r1.apk2024-10-25 20:46 7.1K 
[   ]cvs-fast-export-tools-1.65-r0.apk2024-10-25 20:46 8.4K 
[   ]cvs-fast-export-doc-1.65-r0.apk2024-10-25 20:46 17K 
[   ]cvs-fast-export-1.65-r0.apk2024-10-25 20:46 50K 
[   ]cvise-pyc-2.11.0-r0.apk2025-03-08 13:15 59K 
[   ]cvise-2.11.0-r0.apk2025-03-08 13:15 5.4M 
[   ]cutechess-doc-1.3.1-r0.apk2024-10-25 20:46 3.3K 
[   ]cutechess-cli-doc-1.3.1-r0.apk2024-10-25 20:46 6.3K 
[   ]cutechess-cli-1.3.1-r0.apk2024-10-25 20:46 344K 
[   ]cutechess-1.3.1-r0.apk2024-10-25 20:46 1.1M 
[   ]curtail-lang-1.13.0-r0.apk2025-07-05 20:40 77K 
[   ]curtail-1.13.0-r0.apk2025-07-05 20:40 30K 
[   ]curlftpfs-doc-0.9.2-r3.apk2024-10-25 20:46 5.9K 
[   ]curlftpfs-0.9.2-r3.apk2024-10-25 20:46 24K 
[   ]cups-pdf-3.0.2-r0.apk2025-07-04 21:12 22K 
[   ]ctorrent-dnh-3.3.2-r2.apk2024-10-25 20:46 88K 
[   ]csol-doc-1.6.0-r0.apk2024-10-25 20:46 3.6K 
[   ]csol-1.6.0-r0.apk2024-10-25 20:46 40K 
[   ]csmith-doc-2.3.0-r2.apk2024-10-25 20:46 2.8K 
[   ]csmith-2.3.0-r2.apk2024-10-25 20:46 320K 
[   ]csfml-doc-2.5.2-r0.apk2024-10-25 20:46 204K 
[   ]csfml-dev-2.5.2-r0.apk2024-10-25 20:46 77K 
[   ]csfml-2.5.2-r0.apk2024-10-25 20:46 101K 
[   ]cscope-doc-15.9-r1.apk2024-10-25 20:46 7.5K 
[   ]cscope-15.9-r1.apk2024-10-25 20:46 154K 
[   ]crun-vm-doc-0.3.0-r0.apk2024-11-12 11:50 13K 
[   ]crun-vm-0.3.0-r0.apk2024-11-12 11:50 1.1M 
[   ]crowdsec-splunk-plugin-1.7.0-r3.apk2025-10-08 22:25 6.0M 
[   ]crowdsec-slack-plugin-1.7.0-r3.apk2025-10-08 22:25 6.1M 
[   ]crowdsec-sentinel-plugin-1.7.0-r3.apk2025-10-08 22:25 6.0M 
[   ]crowdsec-openrc-1.7.0-r3.apk2025-10-08 22:25 1.5K 
[   ]crowdsec-http-plugin-1.7.0-r3.apk2025-10-08 22:25 6.0M 
[   ]crowdsec-email-plugin-1.7.0-r3.apk2025-10-08 22:25 6.1M 
[   ]crowdsec-1.7.0-r3.apk2025-10-08 22:25 34M 
[   ]crossplane-pyc-0.5.8-r3.apk2024-10-25 20:46 39K 
[   ]crossplane-0.5.8-r3.apk2024-10-25 20:46 30K 
[   ]crispy-doom-doc-7.1-r0.apk2025-10-03 08:43 107K 
[   ]crispy-doom-7.1-r0.apk2025-10-03 08:43 1.9M 
[   ]createrepo_c-libs-1.1.4-r0.apk2024-10-25 20:46 89K 
[   ]createrepo_c-doc-1.1.4-r0.apk2024-10-25 20:46 8.4K 
[   ]createrepo_c-dev-1.1.4-r0.apk2024-10-25 20:46 31K 
[   ]createrepo_c-bash-completion-1.1.4-r0.apk2024-10-25 20:46 2.6K 
[   ]createrepo_c-1.1.4-r0.apk2024-10-25 20:46 53K 
[   ]crazydiskinfo-1.1.0-r1.apk2024-10-25 20:46 34K 
[   ]cpplint-pyc-2.0.2-r0.apk2025-04-14 00:18 99K 
[   ]cpplint-2.0.2-r0.apk2025-04-14 00:18 80K 
[   ]cpp-httplib-doc-0.26.0-r0.apk2025-08-29 21:55 13K 
[   ]cpp-httplib-0.26.0-r0.apk2025-08-29 21:55 82K 
[   ]cpiped-0.1.0-r0.apk2024-10-25 20:46 7.1K 
[   ]cpdf-doc-2.8.1-r0.apk2025-05-08 12:40 558K 
[   ]cpdf-2.8.1-r0.apk2025-05-08 12:40 2.1M 
[   ]coxeter-libs-3.0-r1.apk2024-10-25 20:46 339K 
[   ]coxeter-dev-3.0-r1.apk2024-10-25 20:46 56K 
[   ]coxeter-3.0-r1.apk2024-10-25 20:46 49K 
[   ]cowsay-doc-3.04-r2.apk2024-10-25 20:46 3.7K 
[   ]cowsay-3.04-r2.apk2024-10-25 20:46 18K 
[   ]cosmic-workspaces-1.0.0_beta1_p1-r0.apk2025-10-03 08:43 7.0M 
[   ]cosmic-term-1.0.0_beta1_p1-r0.apk2025-10-03 08:43 11M 
[   ]cosmic-store-1.0.0_beta1_p1-r0.apk2025-10-03 08:43 9.2M 
[   ]cosmic-settings-daemon-1.0.0_beta1_p1-r0.apk2025-10-03 08:43 1.5M 
[   ]cosmic-settings-1.0.0_beta1_p1-r0.apk2025-10-03 08:43 12M 
[   ]cosmic-session-1.0.0_beta1_p1-r0.apk2025-10-03 08:43 1.3M 
[   ]cosmic-screenshot-1.0.0_beta1_p1-r0.apk2025-10-03 08:43 971K 
[   ]cosmic-randr-1.0.0_beta1_p1-r0.apk2025-10-03 08:43 585K 
[   ]cosmic-player-1.0.0_beta1_p1-r0.apk2025-10-03 08:43 7.1M 
[   ]cosmic-panel-1.0.0_beta1_p1-r0.apk2025-10-03 08:43 3.9M 
[   ]cosmic-osd-1.0.0_beta1_p1-r0.apk2025-10-03 08:43 5.7M 
[   ]cosmic-notifications-1.0.0_beta1_p1-r0.apk2025-10-03 08:43 6.4M 
[   ]cosmic-launcher-1.0.0_beta1_p1-r0.apk2025-10-03 08:43 6.1M 
[   ]cosmic-initial-setup-1.0.0_beta1_p1-r0.apk2025-10-03 08:43 12M 
[   ]cosmic-idle-1.0.0_beta1_p1-r0.apk2025-10-03 08:43 1.2M 
[   ]cosmic-icons-1.0.0_beta1_p1-r0.apk2025-10-03 08:43 241K 
[   ]cosmic-greeter-openrc-1.0.0_beta1_p1-r1.apk2025-10-08 23:36 1.7K 
[   ]cosmic-greeter-1.0.0_beta1_p1-r1.apk2025-10-08 23:36 11M 
[   ]cosmic-files-1.0.0_beta1_p1-r0.apk2025-10-03 08:43 23M 
[   ]cosmic-edit-1.0.0_beta1_p1-r0.apk2025-10-03 08:43 13M 
[   ]cosmic-comp-1.0.0_beta1_p1-r0.apk2025-10-03 08:43 6.8M 
[   ]cosmic-bg-1.0.0_beta1_p1-r0.apk2025-10-03 08:43 1.8M 
[   ]cosmic-applets-1.0.0_beta1_p1-r0.apk2025-10-03 08:43 11M 
[   ]cosmic-app-library-1.0.0_beta1_p1-r0.apk2025-10-03 08:43 7.5M 
[   ]cortex-tenant-openrc-1.15.7-r1.apk2025-10-08 22:25 1.8K 
[   ]cortex-tenant-1.15.7-r1.apk2025-10-08 22:25 4.0M 
[   ]corosync-openrc-3.1.9-r0.apk2025-05-29 03:16 1.5K 
[   ]corosync-doc-3.1.9-r0.apk2025-05-29 03:16 190K 
[   ]corosync-dev-3.1.9-r0.apk2025-05-29 03:16 433K 
[   ]corosync-3.1.9-r0.apk2025-05-29 03:16 313K 
[   ]copyq-doc-10.0.0-r0.apk2025-06-25 13:54 3.2K 
[   ]copyq-bash-completion-10.0.0-r0.apk2025-06-25 13:54 2.0K 
[   ]copyq-10.0.0-r0.apk2025-06-25 13:54 2.7M 
[   ]convert2json-yaml-json-2.3.2-r0.apk2025-08-10 09:20 283K 
[   ]convert2json-yaml-jaq-2.3.2-r0.apk2025-08-10 09:20 295K 
[   ]convert2json-yaml-2.3.2-r0.apk2025-08-10 09:20 1.0K 
[   ]convert2json-xml-json-2.3.2-r0.apk2025-08-10 09:20 213K 
[   ]convert2json-xml-jaq-2.3.2-r0.apk2025-08-10 09:20 225K 
[   ]convert2json-xml-2.3.2-r0.apk2025-08-10 09:20 1.0K 
[   ]convert2json-toml-json-2.3.2-r0.apk2025-08-10 09:20 256K 
[   ]convert2json-toml-jaq-2.3.2-r0.apk2025-08-10 09:20 268K 
[   ]convert2json-toml-2.3.2-r0.apk2025-08-10 09:20 1.0K 
[   ]convert2json-rsv-json-2.3.2-r0.apk2025-08-10 09:20 176K 
[   ]convert2json-rsv-jaq-2.3.2-r0.apk2025-08-10 09:20 189K 
[   ]convert2json-rsv-2.3.2-r0.apk2025-08-10 09:20 1.0K 
[   ]convert2json-plist-json-2.3.2-r0.apk2025-08-10 09:20 258K 
[   ]convert2json-plist-jaq-2.3.2-r0.apk2025-08-10 09:20 269K 
[   ]convert2json-plist-2.3.2-r0.apk2025-08-10 09:20 1.0K 
[   ]convert2json-messagepack-json-2.3.2-r0.apk2025-08-10 09:20 215K 
[   ]convert2json-messagepack-jaq-2.3.2-r0.apk2025-08-10 09:20 227K 
[   ]convert2json-messagepack-2.3.2-r0.apk2025-08-10 09:20 1.0K 
[   ]convert2json-json-2.3.2-r0.apk2025-08-10 09:20 1.1K 
[   ]convert2json-jaq-2.3.2-r0.apk2025-08-10 09:20 1.1K 
[   ]convert2json-ini-json-2.3.2-r0.apk2025-08-10 09:20 198K 
[   ]convert2json-ini-jaq-2.3.2-r0.apk2025-08-10 09:20 211K 
[   ]convert2json-ini-2.3.2-r0.apk2025-08-10 09:20 1.0K 
[   ]convert2json-doc-2.3.2-r0.apk2025-08-10 09:20 13K 
[   ]convert2json-csv-json-2.3.2-r0.apk2025-08-10 09:20 236K 
[   ]convert2json-csv-jaq-2.3.2-r0.apk2025-08-10 09:20 248K 
[   ]convert2json-csv-2.3.2-r0.apk2025-08-10 09:20 1.0K 
[   ]convert2json-cbor-json-2.3.2-r0.apk2025-08-10 09:20 217K 
[   ]convert2json-cbor-jaq-2.3.2-r0.apk2025-08-10 09:20 229K 
[   ]convert2json-cbor-2.3.2-r0.apk2025-08-10 09:20 1.0K 
[   ]convert2json-bson-json-2.3.2-r0.apk2025-08-10 09:20 251K 
[   ]convert2json-bson-jaq-2.3.2-r0.apk2025-08-10 09:20 262K 
[   ]convert2json-bson-2.3.2-r0.apk2025-08-10 09:20 1.0K 
[   ]convert2json-2.3.2-r0.apk2025-08-10 09:20 1.1K 
[   ]contractor-0.3.5-r0.apk2024-11-12 22:42 28K 
[   ]consul-replicate-0.4.0-r35.apk2025-10-08 22:25 2.8M 
[   ]console_bridge-dev-1.0.2-r0.apk2024-10-25 20:46 4.5K 
[   ]console_bridge-1.0.2-r0.apk2024-10-25 20:46 9.7K 
[   ]conntracct-openrc-0.2.7-r35.apk2025-10-08 22:25 1.7K 
[   ]conntracct-0.2.7-r35.apk2025-10-08 22:25 4.9M 
[   ]compiz-utils-0.9.14.2-r12.apk2025-10-03 08:43 3.1K 
[   ]compiz-pyc-0.9.14.2-r12.apk2025-10-03 08:43 111K 
[   ]compiz-lang-0.9.14.2-r12.apk2025-10-03 08:43 1.2M 
[   ]compiz-dev-0.9.14.2-r12.apk2025-10-03 08:43 117K 
[   ]compiz-0.9.14.2-r12.apk2025-10-03 08:43 6.4M 
[   ]commoncpp-tools-7.0.1-r1.apk2024-10-25 20:46 62K 
[   ]commoncpp-doc-7.0.1-r1.apk2024-10-25 20:46 15K 
[   ]commoncpp-dev-7.0.1-r1.apk2024-10-25 20:46 173K 
[   ]commoncpp-7.0.1-r1.apk2024-10-25 20:46 306K 
[   ]commit-lsp-0.1.0-r0.apk2025-05-08 12:40 2.2M 
[   ]comics-downloader-gui-0.33.8-r14.apk2025-10-08 22:25 5.4M 
[   ]comics-downloader-0.33.8-r14.apk2025-10-08 22:25 3.7M 
[   ]colorpicker-0_git20201128-r1.apk2024-10-25 20:46 4.1K 
[   ]colormake-doc-0.9.20170221-r0.apk2024-10-25 20:46 2.7K 
[   ]colormake-0.9.20170221-r0.apk2024-10-25 20:46 4.0K 
[   ]cogapp-pyc-3.6.0-r0.apk2025-10-03 08:43 53K 
[   ]cogapp-3.6.0-r0.apk2025-10-03 08:43 30K 
[   ]codec2-dev-1.2.0-r0.apk2025-05-25 22:28 15K 
[   ]codec2-1.2.0-r0.apk2025-05-25 22:28 676K 
[   ]code-minimap-doc-0.6.7-r0.apk2024-12-12 19:40 7.7K 
[   ]code-minimap-0.6.7-r0.apk2024-12-12 19:40 392K 
[   ]cocogitto-zsh-completion-6.3.0-r0.apk2025-03-21 19:29 2.8K 
[   ]cocogitto-fish-completion-6.3.0-r0.apk2025-03-21 19:29 3.1K 
[   ]cocogitto-doc-6.3.0-r0.apk2025-03-21 19:29 38K 
[   ]cocogitto-bash-completion-6.3.0-r0.apk2025-03-21 19:29 2.8K 
[   ]cocogitto-6.3.0-r0.apk2025-03-21 19:29 1.9M 
[   ]coccinelle-doc-1.1.1-r2.apk2024-10-25 20:46 16K 
[   ]coccinelle-bash-completion-1.1.1-r2.apk2024-10-25 20:46 2.6K 
[   ]coccinelle-1.1.1-r2.apk2024-10-25 20:46 7.1M 
[   ]cobang-lang-1.7.3-r0.apk2025-09-06 17:16 10K 
[   ]cobang-1.7.3-r0.apk2025-09-06 17:16 38K 
[   ]cmusfm-0.5.0-r1.apk2025-08-27 04:14 16K 
[   ]cluster-glue-libs-1.0.12-r5.apk2024-10-25 20:46 116K 
[   ]cluster-glue-doc-1.0.12-r5.apk2024-10-25 20:46 33K 
[   ]cluster-glue-dev-1.0.12-r5.apk2024-10-25 20:46 1.0M 
[   ]cluster-glue-1.0.12-r5.apk2024-10-25 20:46 308K 
[   ]cloudfoundry-cli-8.7.9-r13.apk2025-10-08 22:25 8.6M 
[   ]cln-doc-1.3.7-r1.apk2025-05-25 07:56 77K 
[   ]cln-dev-1.3.7-r1.apk2025-05-25 07:56 1.2M 
[   ]cln-1.3.7-r1.apk2025-05-25 07:56 473K 
[   ]cliquer-tests-1.23-r0.apk2025-08-12 04:15 24K 
[   ]cliquer-static-1.23-r0.apk2025-08-12 04:15 35K 
[   ]cliquer-libs-1.23-r0.apk2025-08-12 04:15 28K 
[   ]cliquer-dev-1.23-r0.apk2025-08-12 04:15 7.2K 
[   ]cliquer-1.23-r0.apk2025-08-12 04:15 7.5K 
[   ]clipit-doc-1.4.5-r3.apk2024-10-25 20:46 2.1K 
[   ]clipit-1.4.5-r3.apk2024-10-25 20:46 67K 
[   ]cliphist-fzf-0.6.1-r9.apk2025-10-08 22:25 1.5K 
[   ]cliphist-0.6.1-r9.apk2025-10-08 22:25 948K 
[   ]clinfo-doc-3.0.23.01.25-r0.apk2024-10-25 20:46 6.2K 
[   ]clinfo-3.0.23.01.25-r0.apk2024-10-25 20:46 48K 
[   ]click-pyc-0.5.2-r4.apk2025-02-18 22:21 175K 
[   ]click-doc-0.5.2-r4.apk2025-02-18 22:21 3.0K 
[   ]click-dev-0.5.2-r4.apk2025-02-18 22:21 8.9K 
[   ]click-0.5.2-r4.apk2025-02-18 22:21 162K 
[   ]clevis-extra-pins-0_git20230629-r0.apk2024-10-25 20:46 4.4K 
[   ]clevis-doc-21-r0.apk2025-01-20 04:17 23K 
[   ]clevis-dbg-21-r0.apk2025-01-20 04:17 62K 
[   ]clevis-bash-completion-21-r0.apk2025-01-20 04:17 1.8K 
[   ]clevis-21-r0.apk2025-01-20 04:17 58K 
[   ]clementine-1.4.1_git20250503-r0.apk2025-06-12 14:19 6.4M 
[   ]clatd-1.6-r0.apk2024-10-25 20:46 12K 
[   ]clang-next-static-22.0.0_pre20250926-r0.apk2025-10-03 08:43 33M 
[   ]clang-next-rtlib-scudo-22.0.0_pre20250926-r0.apk2025-10-03 08:43 44K 
[   ]clang-next-rtlib-atomic-22.0.0_pre20250926-r0.apk2025-10-03 08:43 10K 
[   ]clang-next-rtlib-22.0.0_pre20250926-r0.apk2025-10-03 08:43 6.9M 
[   ]clang-next-libs-22.0.0_pre20250926-r0.apk2025-10-03 08:43 17M 
[   ]clang-next-libclang-22.0.0_pre20250926-r0.apk2025-10-03 08:43 9.7M 
[   ]clang-next-headers-22.0.0_pre20250926-r0.apk2025-10-03 08:43 1.0M 
[   ]clang-next-dev-22.0.0_pre20250926-r0.apk2025-10-03 08:43 3.8M 
[   ]clang-next-ccache-22.0.0_pre20250926-r0.apk2025-10-03 08:43 1.4K 
[   ]clang-next-22.0.0_pre20250926-r0.apk2025-10-03 08:43 9.8M 
[   ]ckb-next-dev-0.6.2-r1.apk2025-09-06 17:16 4.7K 
[   ]ckb-next-daemon-openrc-0.6.2-r1.apk2025-09-06 17:16 1.6K 
[   ]ckb-next-daemon-0.6.2-r1.apk2025-09-06 17:16 72K 
[   ]ckb-next-0.6.2-r1.apk2025-09-06 17:16 1.4M 
[   ]circuslinux-doc-1.0.3-r1.apk2024-10-25 20:46 18K 
[   ]circuslinux-data-1.0.3-r1.apk2024-10-25 20:46 1.1M 
[   ]circuslinux-1.0.3-r1.apk2024-10-25 20:46 20K 
[   ]cimg-3.4.1-r0.apk2024-10-25 20:46 826K 
[   ]cilium-cli-zsh-completion-0.16.13-r9.apk2025-10-08 22:25 3.8K 
[   ]cilium-cli-fish-completion-0.16.13-r9.apk2025-10-08 22:25 4.1K 
[   ]cilium-cli-bash-completion-0.16.13-r9.apk2025-10-08 22:25 4.8K 
[   ]cilium-cli-0.16.13-r9.apk2025-10-08 22:25 53M 
[   ]chocolate-doom-doc-3.1.1-r0.apk2025-08-19 01:31 232K 
[   ]chocolate-doom-3.1.1-r0.apk2025-08-19 01:31 1.7M 
[   ]chimerautils-dbg-14.2.1-r0.apk2025-05-25 23:21 2.8M 
[   ]chimerautils-14.2.1-r0.apk2025-05-25 23:21 1.4M 
[   ]cherrytree-lang-1.4.0-r0.apk2025-03-26 17:41 858K 
[   ]cherrytree-doc-1.4.0-r0.apk2025-03-26 17:41 1.8K 
[   ]cherrytree-1.4.0-r0.apk2025-03-26 17:41 2.5M 
[   ]checkpolicy-doc-3.6-r0.apk2024-10-25 20:46 3.9K 
[   ]checkpolicy-3.6-r0.apk2024-10-25 20:46 369K 
[   ]chawan-doc-0.2.2-r0.apk2025-07-23 00:56 51K 
[   ]chawan-0.2.2-r0.apk2025-07-23 00:56 4.1M 
[   ]chasquid-openrc-1.16.0-r2.apk2025-10-08 22:25 1.7K 
[   ]chasquid-doc-1.16.0-r2.apk2025-10-08 22:25 11K 
[   ]chasquid-1.16.0-r2.apk2025-10-08 22:25 11M 
[   ]charta-0.1.2-r1.apk2025-10-08 22:25 1.1M 
[   ]charls-dev-2.4.2-r0.apk2024-10-25 20:46 26K 
[   ]charls-2.4.2-r0.apk2024-10-25 20:46 69K 
[   ]chamo-dev-4.0-r0.apk2024-10-25 20:46 4.2M 
[   ]chamo-byte-4.0-r0.apk2024-10-25 20:46 1.5M 
[   ]chamo-4.0-r0.apk2024-10-25 20:46 6.7M 
[   ]cgo-doc-0.6.1-r1.apk2024-10-25 20:46 3.9K 
[   ]cgo-0.6.1-r1.apk2024-10-25 20:46 10K 
[   ]cgiirc-0.5.12-r1.apk2024-10-25 20:46 134K 
[   ]cfssl-1.6.5-r9.apk2025-10-08 22:25 28M 
[   ]certstrap-1.3.0-r28.apk2025-10-08 22:24 2.2M 
[   ]certigo-1.16.0-r27.apk2025-10-08 22:24 3.6M 
[   ]certbot-dns-pdns-pyc-0.1.1-r1.apk2025-08-28 01:20 3.6K 
[   ]certbot-dns-pdns-0.1.1-r1.apk2025-08-28 01:20 8.3K 
[   ]certbot-dns-njalla-pyc-2.0.0-r0.apk2024-11-27 23:08 4.0K 
[   ]certbot-dns-njalla-2.0.0-r0.apk2024-11-27 23:08 9.0K 
[   ]certbot-dns-hetzner-pyc-2.0.1-r1.apk2025-10-06 11:45 6.2K 
[   ]certbot-dns-hetzner-2.0.1-r1.apk2025-10-06 11:45 9.9K 
[   ]cdogs-sdl-2.1.0-r0.apk2024-10-25 20:45 34M 
[   ]cdist-pyc-7.0.0-r6.apk2024-10-25 20:45 127K 
[   ]cdist-7.0.0-r6.apk2024-10-25 20:45 511K 
[   ]cddlib-tools-0.94m-r2.apk2024-10-25 20:45 99K 
[   ]cddlib-static-0.94m-r2.apk2024-10-25 20:45 307K 
[   ]cddlib-doc-0.94m-r2.apk2024-10-25 20:45 863K 
[   ]cddlib-dev-0.94m-r2.apk2024-10-25 20:45 14K 
[   ]cddlib-0.94m-r2.apk2024-10-25 20:45 216K 
[   ]cdba-server-1.0-r2.apk2024-10-25 20:45 22K 
[   ]cdba-1.0-r2.apk2024-10-25 20:45 8.1K 
[   ]ccze-doc-0.2.1-r1.apk2024-10-25 20:45 8.8K 
[   ]ccze-dev-0.2.1-r1.apk2024-10-25 20:45 3.3K 
[   ]ccze-0.2.1-r1.apk2024-10-25 20:45 81K 
[   ]ccrtp-doc-2.1.2-r0.apk2024-10-25 20:45 31K 
[   ]ccrtp-dev-2.1.2-r0.apk2024-10-25 20:45 53K 
[   ]ccrtp-2.1.2-r0.apk2024-10-25 20:45 96K 
[   ]cc65-2.19-r0.apk2024-10-25 20:45 8.9M 
[   ]cbqn-0.9.0-r0.apk2025-03-25 15:56 761K 
[   ]cava-0.10.6-r0.apk2025-09-12 08:21 49K 
[   ]catfish-pyc-4.20.1-r0.apk2025-07-07 19:29 104K 
[   ]catfish-lang-4.20.1-r0.apk2025-07-07 19:29 166K 
[   ]catfish-doc-4.20.1-r0.apk2025-07-07 19:29 13K 
[   ]catfish-4.20.1-r0.apk2025-07-07 19:29 128K 
[   ]catdoc-doc-0.95-r1.apk2024-10-25 20:45 9.0K 
[   ]catdoc-0.95-r1.apk2024-10-25 20:45 112K 
[   ]catcodec-doc-1.0.5-r2.apk2024-10-25 20:45 4.9K 
[   ]catcodec-1.0.5-r2.apk2024-10-25 20:45 13K 
[   ]cataclysm-dda-tiles-0h-r0.apk2025-03-20 03:10 49M 
[   ]cataclysm-dda-lang-0h-r0.apk2025-03-20 03:10 38M 
[   ]cataclysm-dda-doc-0h-r0.apk2025-03-20 03:10 4.4K 
[   ]cataclysm-dda-curses-0h-r0.apk2025-03-20 03:10 12M 
[   ]cataclysm-dda-0h-r0.apk2025-03-20 03:10 19M 
[   ]castor-0.9.0-r2.apk2024-10-25 20:45 745K 
[   ]castero-pyc-0.9.5-r4.apk2025-05-14 18:20 94K 
[   ]castero-0.9.5-r4.apk2025-05-14 18:20 50K 
[   ]cargo-vendor-filterer-0.5.18-r0.apk2025-07-24 17:31 683K 
[   ]cargo-update-doc-16.2.1-r0.apk2025-03-23 13:27 8.0K 
[   ]cargo-update-16.2.1-r0.apk2025-03-23 13:27 1.2M 
[   ]cargo-udeps-doc-0.1.59-r0.apk2025-10-03 08:43 7.2K 
[   ]cargo-udeps-0.1.59-r0.apk2025-10-03 08:43 5.1M 
[   ]cargo-shuttle-zsh-completion-0.56.6-r0.apk2025-07-29 07:57 7.7K 
[   ]cargo-shuttle-fish-completion-0.56.6-r0.apk2025-07-29 07:57 8.8K 
[   ]cargo-shuttle-doc-0.56.6-r0.apk2025-07-29 07:57 8.7K 
[   ]cargo-shuttle-bash-completion-0.56.6-r0.apk2025-07-29 07:57 4.9K 
[   ]cargo-shuttle-0.56.6-r0.apk2025-07-29 07:57 5.1M 
[   ]cargo-show-asm-doc-0.2.51-r0.apk2025-07-14 12:51 9.7K 
[   ]cargo-show-asm-0.2.51-r0.apk2025-07-14 12:51 887K 
[   ]cargo-seek-doc-0.1.0-r0.apk2025-10-03 08:43 3.7K 
[   ]cargo-seek-0.1.0-r0.apk2025-10-03 08:43 2.3M 
[   ]cargo-run-bin-doc-1.7.2-r0.apk2024-10-25 20:45 4.8K 
[   ]cargo-run-bin-1.7.2-r0.apk2024-10-25 20:45 482K 
[   ]cargo-machete-doc-0.9.1-r0.apk2025-08-17 18:49 4.1K 
[   ]cargo-machete-0.9.1-r0.apk2025-08-17 18:49 1.3M 
[   ]cargo-insta-doc-1.43.2-r0.apk2025-10-03 08:43 5.2K 
[   ]cargo-insta-1.43.2-r0.apk2025-10-03 08:43 1.2M 
[   ]cargo-generate-0.23.5-r0.apk2025-10-04 13:16 2.4M 
[   ]cargo-geiger-doc-0.12.0-r0.apk2025-05-26 17:46 7.4K 
[   ]cargo-geiger-0.12.0-r0.apk2025-05-26 17:46 5.6M 
[   ]cargo-doc2readme-zsh-completion-0.6.3-r0.apk2025-10-05 21:36 2.3K 
[   ]cargo-doc2readme-fish-completion-0.6.3-r0.apk2025-10-05 21:36 2.0K 
[   ]cargo-doc2readme-bash-completion-0.6.3-r0.apk2025-10-05 21:36 1.9K 
[   ]cargo-doc2readme-0.6.3-r0.apk2025-10-05 21:36 1.2M 
[   ]cargo-crev-0.26.3-r0.apk2025-03-02 16:49 6.5M 
[   ]captive-browser-doc-0_git20210801-r1.apk2025-10-08 22:24 3.4K 
[   ]captive-browser-0_git20210801-r1.apk2025-10-08 22:24 1.2M 
[   ]caps2esc-0.3.2-r0.apk2024-10-25 20:45 4.8K 
[   ]capnet-assist-lang-8.0.0-r0.apk2025-04-14 10:08 37K 
[   ]capnet-assist-8.0.0-r0.apk2025-04-14 10:08 44K 
[   ]caja-gtkhash-plugin-1.5-r0.apk2025-03-10 19:32 25K 
[   ]caffeine-ng-lang-4.2.0-r1.apk2024-10-25 20:45 34K 
[   ]caffeine-ng-doc-4.2.0-r1.apk2024-10-25 20:45 2.9K 
[   ]caffeine-ng-4.2.0-r1.apk2024-10-25 20:45 100K 
[   ]cadence-0.9.2-r1.apk2025-10-09 10:54 1.5M 
[   ]bzmenu-0.2.1-r3.apk2025-08-09 12:15 1.2M 
[   ]bwrap-oci-doc-0.2-r1.apk2024-10-25 20:45 2.2K 
[   ]bwrap-oci-0.2-r1.apk2024-10-25 20:45 16K 
[   ]butane-0.25.1-r1.apk2025-10-08 22:24 3.0M 
[   ]burp-server-3.1.4-r0.apk2024-10-25 20:45 36K 
[   ]burp-doc-3.1.4-r0.apk2024-10-25 20:45 99K 
[   ]burp-3.1.4-r0.apk2024-10-25 20:45 179K 
[   ]bump2version-pyc-1.0.1-r6.apk2024-10-25 20:45 29K 
[   ]bump2version-1.0.1-r6.apk2024-10-25 20:45 21K 
[   ]buildcache-0.28.9-r0.apk2024-10-25 20:45 898K 
[   ]build-next-overlay-22.0.0_pre20250926-r0.apk2025-10-03 08:43 2.7K 
[   ]build-next-gas-22.0.0_pre20250926-r0.apk2025-10-03 08:43 832K 
[   ]build-next-bfd-22.0.0_pre20250926-r0.apk2025-10-03 08:43 889K 
[   ]build-next-22.0.0_pre20250926-r0.apk2025-10-03 08:43 1.3K 
[   ]buf-zsh-completion-1.56.0-r3.apk2025-10-08 22:24 3.8K 
[   ]buf-protoc-plugins-1.56.0-r3.apk2025-10-08 22:24 13M 
[   ]buf-fish-completion-1.56.0-r3.apk2025-10-08 22:24 4.0K 
[   ]buf-bash-completion-1.56.0-r3.apk2025-10-08 22:24 8.4K 
[   ]buf-1.56.0-r3.apk2025-10-08 22:24 13M 
[   ]btpd-doc-0.16-r2.apk2024-10-25 20:45 8.4K 
[   ]btpd-0.16-r2.apk2024-10-25 20:45 88K 
[   ]btfs-doc-2.24-r12.apk2024-10-25 20:45 2.1K 
[   ]btfs-2.24-r12.apk2024-10-25 20:45 32K 
[   ]btcd-0.24.0-r12.apk2025-10-08 22:24 15M 
[   ]brial-dev-1.2.11-r4.apk2024-10-25 20:45 1.7M 
[   ]brial-1.2.11-r4.apk2024-10-25 20:45 1.0M 
[   ]boxes-doc-2.3.1-r0.apk2024-10-25 20:45 6.9K 
[   ]boxes-2.3.1-r0.apk2024-10-25 20:45 85K 
[   ]botan2-libs-2.19.5-r0.apk2025-05-28 21:38 2.9M 
[   ]botan2-doc-2.19.5-r0.apk2025-05-28 21:38 306K 
[   ]botan2-dev-2.19.5-r0.apk2025-05-28 21:38 311K 
[   ]botan2-2.19.5-r0.apk2025-05-28 21:38 450K 
[   ]boson-0_git20211219-r0.apk2024-10-25 20:45 19K 
[   ]bore-0.5.2-r0.apk2024-12-15 19:50 596K 
[   ]bootterm-dbg-0.5-r0.apk2024-10-25 20:45 2.0K 
[   ]bootterm-0.5-r0.apk2024-10-25 20:45 20K 
[   ]bootloose-0.7.1-r15.apk2025-10-08 22:24 2.1M 
[   ]bootinfo-pyc-0.1.0-r4.apk2024-10-25 20:45 8.0K 
[   ]bootinfo-0.1.0-r4.apk2024-10-25 20:45 19K 
[   ]bootchart2-0.14.9-r0.apk2025-01-03 10:13 138K 
[   ]bonzomatic-20230615-r0.apk2024-10-25 20:45 667K 
[   ]bomctl-zsh-completion-0.1.9-r10.apk2025-10-08 22:24 3.8K 
[   ]bomctl-fish-completion-0.1.9-r10.apk2025-10-08 22:24 4.1K 
[   ]bomctl-bash-completion-0.1.9-r10.apk2025-10-08 22:24 4.9K 
[   ]bomctl-0.1.9-r10.apk2025-10-08 22:24 9.0M 
[   ]boinc-screensaver-7.24.3-r0.apk2024-10-25 20:45 145K 
[   ]boinc-libs-7.24.3-r0.apk2024-10-25 20:45 230K 
[   ]boinc-lang-7.24.3-r0.apk2024-10-25 20:45 877K 
[   ]boinc-gui-7.24.3-r0.apk2024-10-25 20:45 1.0M 
[   ]boinc-doc-7.24.3-r0.apk2024-10-25 20:45 7.7K 
[   ]boinc-dev-7.24.3-r0.apk2024-10-25 20:45 646K 
[   ]boinc-7.24.3-r0.apk2024-10-25 20:45 1.6M 
[   ]bochs-doc-2.8-r1.apk2025-02-20 18:30 139K 
[   ]bochs-2.8-r1.apk2025-02-20 18:30 927K 
[   ]bliss-dev-0.77-r1.apk2024-10-25 20:45 119K 
[   ]bliss-0.77-r1.apk2024-10-25 20:45 81K 
[   ]blip-doc-0.10-r0.apk2024-10-25 20:45 30K 
[   ]blip-0.10-r0.apk2024-10-25 20:45 15K 
[   ]blackbox-1.20220610-r1.apk2024-10-25 20:45 15K 
[   ]bkt-doc-0.8.0-r0.apk2024-10-25 20:45 7.0K 
[   ]bkt-0.8.0-r0.apk2024-10-25 20:45 396K 
[   ]bitritter-0.1.1-r0.apk2024-10-25 20:45 2.2M 
[   ]bitlbee-mastodon-1.4.5-r0.apk2024-10-25 20:45 48K 
[   ]bitlbee-facebook-1.2.2-r0.apk2024-10-25 20:45 61K 
[   ]birdtray-1.9.0-r1.apk2024-10-25 20:45 410K 
[   ]biometryd-dev-0.3.2-r1.apk2025-10-03 08:43 13K 
[   ]biometryd-0.3.2-r1.apk2025-10-03 08:43 336K 
[   ]biome-2.1.4-r0.apk2025-08-08 16:53 7.4M 
[   ]binwalk-3.1.0-r0.apk2025-02-07 15:51 1.1M 
[   ]bindfs-doc-1.17.7-r1.apk2025-06-19 08:53 8.8K 
[   ]bindfs-1.17.7-r1.apk2025-06-19 08:53 22K 
[   ]biboumi-openrc-9.0-r9.apk2025-10-06 11:33 1.6K 
[   ]biboumi-doc-9.0-r9.apk2025-10-06 11:33 1.2K 
[   ]biboumi-9.0-r9.apk2025-10-06 11:33 276K 
[   ]bgs-doc-0.8-r1.apk2024-10-25 20:45 2.0K 
[   ]bgs-0.8-r1.apk2024-10-25 20:45 5.6K 
[   ]bgpq4-doc-1.15-r0.apk2024-10-25 20:45 6.1K 
[   ]bgpq4-1.15-r0.apk2024-10-25 20:45 34K 
[   ]bettercap-doc-2.41.4-r2.apk2025-10-08 22:24 13K 
[   ]bettercap-2.41.4-r2.apk2025-10-08 22:24 19M 
[   ]bestline-doc-0.0_git20211108-r0.apk2024-10-25 20:45 18M 
[   ]bestline-dev-0.0_git20211108-r0.apk2024-10-25 20:45 1.7K 
[   ]bestline-0.0_git20211108-r0.apk2024-10-25 20:45 22K 
[   ]berry-lang-1.1.0-r0.apk2024-10-25 20:45 132K 
[   ]belr-dev-5.3.105-r0.apk2025-02-25 12:54 14K 
[   ]belr-5.3.105-r0.apk2025-02-25 12:54 116K 
[   ]belle-sip-dev-5.3.105-r0.apk2025-02-25 12:54 54K 
[   ]belle-sip-5.3.105-r0.apk2025-02-25 12:54 624K 
[   ]belcard-libs-5.3.105-r0.apk2025-02-25 12:54 221K 
[   ]belcard-dev-5.3.105-r0.apk2025-02-25 12:54 11K 
[   ]belcard-5.3.105-r0.apk2025-02-25 12:54 16K 
[   ]bees-openrc-0.10-r2.apk2024-10-25 20:45 1.7K 
[   ]bees-0.10-r2.apk2024-10-25 20:45 295K 
[   ]beard-doc-0.4-r0.apk2024-10-25 20:45 2.5K 
[   ]beard-0.4-r0.apk2024-10-25 20:45 3.1K 
[   ]beancount-language-server-1.4.1-r0.apk2025-08-03 10:42 1.5M 
[   ]bdfr-2.6.2-r1.apk2024-10-25 20:45 131K 
[   ]bchunk-doc-1.2.2-r3.apk2024-10-25 20:45 2.7K 
[   ]bchunk-1.2.2-r3.apk2024-10-25 20:45 7.6K 
[   ]bcg729-dev-1.1.1-r0.apk2024-10-25 20:45 3.5K 
[   ]bcg729-1.1.1-r0.apk2024-10-25 20:45 35K 
[   ]battery-limit-openrc-1-r0.apk2025-06-17 10:19 1.6K 
[   ]batmon-0.0.1-r0.apk2024-10-25 20:45 483K 
[   ]bash-pinyin-completion-rs-doc-0.3.2-r0.apk2025-10-03 08:43 13K 
[   ]bash-pinyin-completion-rs-0.3.2-r0.apk2025-10-03 08:43 314K 
[   ]base64c-dev-0.2.1-r0.apk2024-10-25 20:45 5.4K 
[   ]base64c-0.2.1-r0.apk2024-10-25 20:45 4.6K 
[   ]bartib-1.0.1-r1.apk2024-10-25 20:45 377K 
[   ]barrier-doc-2.4.0-r2.apk2025-02-18 22:21 13K 
[   ]barrier-2.4.0-r2.apk2025-02-18 22:21 1.0M 
[   ]barnyard2-openrc-2.1.14_git20160413-r1.apk2024-10-25 20:45 2.5K 
[   ]barnyard2-2.1.14_git20160413-r1.apk2024-10-25 20:45 129K 
[   ]barman-pyc-3.15.0-r0.apk2025-08-12 08:37 589K 
[   ]barman-doc-3.15.0-r0.apk2025-08-12 08:37 86K 
[   ]barman-bash-completion-3.15.0-r0.apk2025-08-12 08:37 1.4K 
[   ]barman-3.15.0-r0.apk2025-08-12 08:37 374K 
[   ]baresip-dev-4.1.0-r0.apk2025-10-03 08:43 16K 
[   ]baresip-4.1.0-r0.apk2025-10-03 08:43 1.2M 
[   ]bananui-shell-0.2.0-r0.apk2024-10-25 20:45 109K 
[   ]bananui-dev-2.0.0-r0.apk2024-10-25 20:45 87K 
[   ]bananui-demos-2.0.0-r0.apk2024-10-25 20:45 11K 
[   ]bananui-dbg-2.0.0-r0.apk2024-10-25 20:45 169K 
[   ]bananui-daemons-0.1.0-r0.apk2024-10-25 20:45 47K 
[   ]bananui-clock-0.1.0-r0.apk2024-10-25 20:45 7.4K 
[   ]bananui-2.0.0-r0.apk2024-10-25 20:45 57K 
[   ]bakelite-0.4.2-r0.apk2024-10-25 20:45 40K 
[   ]baikal-sqlite-0.10.1-r1.apk2025-05-27 23:25 1.2K 
[   ]baikal-pgsql-0.10.1-r1.apk2025-05-27 23:25 1.0K 
[   ]baikal-mysql-0.10.1-r1.apk2025-05-27 23:25 1.0K 
[   ]baikal-0.10.1-r1.apk2025-05-27 23:25 1.3M 
[   ]bacon-3.18.0-r0.apk2025-10-03 08:43 1.9M 
[   ]backup-manager-0.7.15-r1.apk2024-10-25 20:45 55K 
[   ]b2sum-doc-20190729-r2.apk2024-10-25 20:45 2.5K 
[   ]b2sum-20190729-r2.apk2024-10-25 20:45 15K 
[   ]b2-tools-pyc-4.3.2-r0.apk2025-05-04 02:50 136K 
[   ]b2-tools-4.3.2-r0.apk2025-05-04 02:50 72K 
[   ]azure-iot-sdk-c-static-1.11.0-r0.apk2024-10-25 20:45 834K 
[   ]azpainter-doc-3.0.11-r0.apk2025-02-22 11:10 42K 
[   ]azpainter-3.0.11-r0.apk2025-02-22 11:10 935K 
[   ]azote-pyc-1.14.0-r0.apk2024-12-14 21:36 98K 
[   ]azote-1.14.0-r0.apk2024-12-14 21:36 7.6M 
[   ]avra-dev-1.4.2-r0.apk2024-10-25 20:45 254K 
[   ]avra-1.4.2-r0.apk2024-10-25 20:45 40K 
[   ]avarice-doc-2.14-r4.apk2024-10-25 20:45 9.2K 
[   ]avarice-2.14-r4.apk2024-10-25 20:45 66K 
[   ]avara-0.7.1-r1.apk2024-11-04 08:53 21M 
[   ]avahi2dns-openrc-0.1.0-r5.apk2025-10-08 22:24 1.5K 
[   ]avahi2dns-0.1.0-r5.apk2025-10-08 22:24 2.4M 
[   ]autotrash-pyc-0.4.7-r0.apk2024-10-25 20:45 14K 
[   ]autotrash-0.4.7-r0.apk2024-10-25 20:45 23K 
[   ]autorestic-1.8.3-r9.apk2025-10-08 22:24 3.7M 
[   ]autoremove-torrents-pyc-1.5.5-r0.apk2024-10-25 20:45 54K 
[   ]autoremove-torrents-doc-1.5.5-r0.apk2024-10-25 20:45 11K 
[   ]autoremove-torrents-1.5.5-r0.apk2024-10-25 20:45 35K 
[   ]autoconf-policy-0.1-r0.apk2024-10-25 20:45 5.5K 
[   ]authenticator-rs-lang-0.8.6-r0.apk2025-09-01 18:31 3.8K 
[   ]authenticator-rs-0.8.6-r0.apk2025-09-01 18:31 2.3M 
[   ]aufs-util-doc-20161219-r3.apk2024-10-25 20:45 34K 
[   ]aufs-util-dev-20161219-r3.apk2024-10-25 20:45 1.2K 
[   ]aufs-util-20161219-r3.apk2024-10-25 20:45 206K 
[   ]atool-doc-0.39.0-r4.apk2024-10-25 20:45 9.3K 
[   ]atool-bash-completion-0.39.0-r4.apk2024-10-25 20:45 1.8K 
[   ]atool-0.39.0-r4.apk2024-10-25 20:45 17K 
[   ]atomicparsley-20240608-r0.apk2024-10-25 20:45 109K 
[   ]atlantik-lang-3.5.10_git20240323-r0.apk2024-10-25 20:45 68K 
[   ]atlantik-doc-3.5.10_git20240323-r0.apk2024-10-25 20:45 79K 
[   ]atlantik-3.5.10_git20240323-r0.apk2024-10-25 20:45 395K 
[   ]atac-0.18.1-r0.apk2024-11-25 22:19 4.9M 
[   ]aspell-es-1.11-r0.apk2024-10-25 20:45 533K 
[   ]arturo-full-0.9.84_alpha3392-r0.apk2025-10-03 08:43 2.4M 
[   ]arturo-doc-0.9.84_alpha3392-r0.apk2025-10-03 08:43 1.5M 
[   ]arturo-0.9.84_alpha3392-r0.apk2025-10-03 08:43 2.0M 
[   ]armagetronad-doc-0.2.9.1.1-r0.apk2024-10-25 20:45 92K 
[   ]armagetronad-0.2.9.1.1-r0.apk2024-10-25 20:45 1.6M 
[   ]arj-doc-0_git20220125-r1.apk2024-10-25 20:45 10K 
[   ]arj-0_git20220125-r1.apk2024-10-25 20:45 132K 
[   ]argocd-zsh-completion-3.1.4-r1.apk2025-10-08 22:24 3.8K 
[   ]argocd-doc-3.1.4-r1.apk2025-10-08 22:24 5.3K 
[   ]argocd-bash-completion-3.1.4-r1.apk2025-10-08 22:24 21K 
[   ]argocd-3.1.4-r1.apk2025-10-08 22:24 40M 
[   ]arc-xfwm-20221218-r0.apk2024-10-25 20:45 7.4K 
[   ]arc-theme-20221218-r0.apk2024-10-25 20:45 1.2K 
[   ]arc-metacity-20221218-r0.apk2024-10-25 20:45 17K 
[   ]arc-lighter-xfwm-20221218-r0.apk2024-10-25 20:45 7.5K 
[   ]arc-lighter-metacity-20221218-r0.apk2024-10-25 20:45 17K 
[   ]arc-lighter-gtk4-20221218-r0.apk2024-10-25 20:45 113K 
[   ]arc-lighter-gtk3-20221218-r0.apk2024-10-25 20:45 124K 
[   ]arc-lighter-gtk2-20221218-r0.apk2024-10-25 20:45 37K 
[   ]arc-lighter-20221218-r0.apk2024-10-25 20:45 1.5K 
[   ]arc-icon-theme-20161122-r0.apk2024-10-25 20:45 4.4M 
[   ]arc-gtk4-20221218-r0.apk2024-10-25 20:45 113K 
[   ]arc-gtk3-20221218-r0.apk2024-10-25 20:45 126K 
[   ]arc-gtk2-20221218-r0.apk2024-10-25 20:45 37K 
[   ]arc-gnome-20221218-r0.apk2024-10-25 20:45 28K 
[   ]arc-darker-xfwm-20221218-r0.apk2024-10-25 20:45 7.6K 
[   ]arc-darker-metacity-20221218-r0.apk2024-10-25 20:45 17K 
[   ]arc-darker-gtk4-20221218-r0.apk2024-10-25 20:45 110K 
[   ]arc-darker-gtk3-20221218-r0.apk2024-10-25 20:45 123K 
[   ]arc-darker-gtk2-20221218-r0.apk2024-10-25 20:45 38K 
[   ]arc-darker-20221218-r0.apk2024-10-25 20:45 1.5K 
[   ]arc-dark-xfwm-20221218-r0.apk2024-10-25 20:45 7.6K 
[   ]arc-dark-metacity-20221218-r0.apk2024-10-25 20:45 17K 
[   ]arc-dark-gtk4-20221218-r0.apk2024-10-25 20:45 86K 
[   ]arc-dark-gtk3-20221218-r0.apk2024-10-25 20:45 93K 
[   ]arc-dark-gtk2-20221218-r0.apk2024-10-25 20:45 38K 
[   ]arc-dark-gnome-20221218-r0.apk2024-10-25 20:45 27K 
[   ]arc-dark-cinnamon-20221218-r0.apk2024-10-25 20:45 68K 
[   ]arc-dark-20221218-r0.apk2024-10-25 20:45 1.5K 
[   ]arc-cinnamon-20221218-r0.apk2024-10-25 20:45 68K 
[   ]arc-20221218-r0.apk2024-10-25 20:45 1.5K 
[   ]aravis-viewer-lang-0.8.31-r0.apk2024-10-25 20:45 16K 
[   ]aravis-viewer-0.8.31-r0.apk2024-10-25 20:45 68K 
[   ]aravis-libs-0.8.31-r0.apk2024-10-25 20:45 187K 
[   ]aravis-dev-0.8.31-r0.apk2024-10-25 20:45 34K 
[   ]aravis-0.8.31-r0.apk2024-10-25 20:45 49K 
[   ]aqemu-doc-0.9.4-r3.apk2024-10-25 20:45 7.3K 
[   ]aqemu-0.9.4-r3.apk2024-10-25 20:45 1.6M 
[   ]apx-doc-2.4.5-r4.apk2025-10-08 22:24 2.1K 
[   ]apx-2.4.5-r4.apk2025-10-08 22:24 3.4M 
[   ]apulse-doc-0.1.14-r0.apk2025-09-06 17:16 2.7K 
[   ]apulse-0.1.14-r0.apk2025-09-06 17:16 44K 
[   ]aptdec-libs-1.8.0-r1.apk2025-02-08 23:41 17K 
[   ]aptdec-dev-1.8.0-r1.apk2025-02-08 23:41 3.2K 
[   ]aptdec-1.8.0-r1.apk2025-02-08 23:41 86K 
[   ]apt-swarm-zsh-completion-0.5.1-r0.apk2025-05-26 17:46 5.6K 
[   ]apt-swarm-openrc-0.5.1-r0.apk2025-05-26 17:46 1.7K 
[   ]apt-swarm-fish-completion-0.5.1-r0.apk2025-05-26 17:46 5.5K 
[   ]apt-swarm-bash-completion-0.5.1-r0.apk2025-05-26 17:46 3.9K 
[   ]apt-swarm-0.5.1-r0.apk2025-05-26 17:46 3.0M 
[   ]apt-mirror-doc-0.5.4-r0.apk2024-10-25 20:45 4.4K 
[   ]apt-mirror-0.5.4-r0.apk2024-10-25 20:45 9.2K 
[   ]apt-dater-lang-1.0.4-r4.apk2024-10-25 20:45 13K 
[   ]apt-dater-doc-1.0.4-r4.apk2024-10-25 20:45 9.6K 
[   ]apt-dater-1.0.4-r4.apk2024-10-25 20:45 58K 
[   ]aprilsh-server-0.7.12-r9.apk2025-10-08 22:24 2.4M 
[   ]aprilsh-openrc-0.7.12-r9.apk2025-10-08 22:24 1.6K 
[   ]aprilsh-doc-0.7.12-r9.apk2025-10-08 22:24 14K 
[   ]aprilsh-client-0.7.12-r9.apk2025-10-08 22:24 3.1M 
[   ]aprilsh-0.7.12-r9.apk2025-10-08 22:24 1.4K 
[   ]appcenter-lang-8.0.0-r0.apk2024-11-12 22:42 258K 
[   ]appcenter-8.0.0-r0.apk2024-11-12 22:42 409K 
[   ]aports-glmr-0.2-r32.apk2025-10-08 22:24 2.5M 
[   ]apmpkg-zsh-completion-1.5.1-r3.apk2024-10-25 20:45 2.2K 
[   ]apmpkg-fish-completion-1.5.1-r3.apk2024-10-25 20:45 1.8K 
[   ]apmpkg-doc-1.5.1-r3.apk2024-10-25 20:45 2.8K 
[   ]apmpkg-bash-completion-1.5.1-r3.apk2024-10-25 20:45 1.9K 
[   ]apmpkg-1.5.1-r3.apk2024-10-25 20:45 1.7M 
[   ]apk-snap-doc-3.1.1-r0.apk2024-10-25 20:45 20K 
[   ]apk-snap-3.1.1-r0.apk2024-10-25 20:45 6.4K 
[   ]apk-autoupdate-doc-0_git20210421-r1.apk2024-11-17 12:51 6.7K 
[   ]apk-autoupdate-0_git20210421-r1.apk2024-11-17 12:51 14K 
[   ]apache2-mod-realdoc-1-r1.apk2024-10-25 20:45 4.9K 
[   ]apache2-mod-perl-doc-2.0.13-r2.apk2025-06-30 09:24 304K 
[   ]apache2-mod-perl-dev-2.0.13-r2.apk2025-06-30 09:24 38K 
[   ]apache2-mod-perl-dbg-2.0.13-r2.apk2025-06-30 09:24 80K 
[   ]apache2-mod-perl-2.0.13-r2.apk2025-06-30 09:24 785K 
[   ]apache2-mod-maxminddb-1.2.0-r0.apk2025-05-19 10:23 12K 
[   ]apache2-mod-authnz-external-doc-3.3.3-r0.apk2024-10-25 20:45 10K 
[   ]apache2-mod-authnz-external-3.3.3-r0.apk2024-10-25 20:45 8.5K 
[   ]apache-mod-auth-openidc-static-2.4.16.11-r1.apk2025-05-22 06:59 319K 
[   ]apache-mod-auth-openidc-doc-2.4.16.11-r1.apk2025-05-22 06:59 4.2K 
[   ]apache-mod-auth-openidc-2.4.16.11-r1.apk2025-05-22 06:59 219K 
[   ]apache-mod-auth-gssapi-1.6.5-r1.apk2024-10-25 20:45 61K 
[   ]aoetools-doc-37-r2.apk2025-01-14 14:00 13K 
[   ]aoetools-37-r2.apk2025-01-14 14:00 27K 
[   ]anubis-openrc-1.22.0-r2.apk2025-10-08 22:24 1.6K 
[   ]anubis-doc-1.22.0-r2.apk2025-10-08 22:24 2.0K 
[   ]anubis-1.22.0-r2.apk2025-10-08 22:24 8.6M 
[   ]antimicrox-doc-3.5.1-r0.apk2025-06-13 13:54 24K 
[   ]antimicrox-3.5.1-r0.apk2025-06-13 13:54 1.6M 
[   ]antibody-6.1.1-r32.apk2025-10-08 22:24 1.8M 
[   ]ansiweather-doc-1.19.0-r1.apk2024-10-25 20:45 2.8K 
[   ]ansiweather-1.19.0-r1.apk2024-10-25 20:45 4.5K 
[   ]ansible-bender-pyc-0.10.1-r2.apk2024-10-25 20:45 65K 
[   ]ansible-bender-doc-0.10.1-r2.apk2024-10-25 20:45 9.8K 
[   ]ansible-bender-0.10.1-r2.apk2024-10-25 20:45 36K 
[   ]angband-4.2.5-r0.apk2024-10-25 20:45 23M 
[   ]android-file-transfer-libs-4.5-r0.apk2025-06-24 23:03 134K 
[   ]android-file-transfer-dev-4.5-r0.apk2025-06-24 23:03 1.3K 
[   ]android-file-transfer-cli-4.5-r0.apk2025-06-24 23:03 116K 
[   ]android-file-transfer-4.5-r0.apk2025-06-24 23:03 200K 
[   ]android-apktool-2.12.0-r0.apk2025-07-06 14:14 24M 
[   ]android-apkeep-0.17.0-r0.apk2024-10-25 20:45 1.9M 
[   ]anari-sdk-static-0.7.2-r0.apk2024-10-25 20:45 193K 
[   ]anari-sdk-dev-0.7.2-r0.apk2024-10-25 20:45 58K 
[   ]anari-sdk-0.7.2-r0.apk2024-10-25 20:45 297K 
[   ]anarch-doc-1.0-r1.apk2024-10-25 20:45 18K 
[   ]anarch-1.0-r1.apk2024-10-25 20:45 106K 
[   ]amule-lang-2.3.3-r13.apk2024-10-25 20:45 1.6M 
[   ]amule-doc-2.3.3-r13.apk2024-10-25 20:45 281K 
[   ]amule-2.3.3-r13.apk2024-10-25 20:45 3.9M 
[   ]ampy-pyc-1.1.0-r6.apk2025-03-19 13:43 19K 
[   ]ampy-doc-1.1.0-r6.apk2025-03-19 13:43 3.8K 
[   ]ampy-1.1.0-r6.apk2025-03-19 13:43 15K 
[   ]amiitool-2-r2.apk2024-10-25 20:45 6.6K 
[   ]amdgpu_top-doc-0.11.0-r0.apk2025-09-03 00:49 3.7K 
[   ]amdgpu_top-0.11.0-r0.apk2025-09-03 00:49 7.0M 
[   ]amdgpu-fan-pyc-0.1.0-r5.apk2024-10-25 20:45 9.4K 
[   ]amdgpu-fan-0.1.0-r5.apk2024-10-25 20:45 14K 
[   ]amber-mpris-dev-1.2.9-r0.apk2024-12-22 16:47 6.5K 
[   ]amber-mpris-1.2.9-r0.apk2024-12-22 16:47 227K 
[   ]amber-0.4.0_alpha-r0.apk2025-10-03 08:43 567K 
[   ]alttab-doc-1.7.1-r0.apk2024-10-25 20:45 10K 
[   ]alttab-1.7.1-r0.apk2024-10-25 20:45 39K 
[   ]alps-openrc-0_git20230807-r16.apk2025-10-08 22:24 1.8K 
[   ]alps-0_git20230807-r16.apk2025-10-08 22:24 5.4M 
[   ]alpine-lift-0.2.0-r27.apk2025-10-08 22:24 3.6MAlpine Linux
[   ]alertmanager-irc-relay-openrc-0.5.1-r1.apk2025-09-06 17:16 1.7K 
[   ]alertmanager-irc-relay-0.5.1-r1.apk2025-09-06 17:16 4.7M 
[   ]alarmwakeup-utils-0.2.1-r0.apk2024-10-25 20:45 4.4K 
[   ]alarmwakeup-libs-0.2.1-r0.apk2024-10-25 20:45 4.7K 
[   ]alarmwakeup-dev-0.2.1-r0.apk2024-10-25 20:45 2.3K 
[   ]alarmwakeup-dbg-0.2.1-r0.apk2024-10-25 20:45 17K 
[   ]alarmwakeup-0.2.1-r0.apk2024-10-25 20:45 7.1K 
[   ]ait-doc-1.13-r0.apk2025-10-04 04:06 21K 
[   ]ait-1.13-r0.apk2025-10-04 04:06 63K 
[   ]aide-doc-0.19.2-r0.apk2025-09-06 17:16 15K 
[   ]aide-0.19.2-r0.apk2025-09-06 17:16 86K 
[   ]agrep-doc-0.8.0-r2.apk2024-10-25 20:45 3.9K 
[   ]agrep-0.8.0-r2.apk2024-10-25 20:45 8.3K 
[   ]agate-openrc-3.3.19-r0.apk2025-10-03 08:43 1.7K 
[   ]agate-3.3.19-r0.apk2025-10-03 08:43 759K 
[   ]afew-doc-3.0.1-r0.apk2025-05-18 22:33 12K 
[   ]afew-3.0.1-r0.apk2025-05-18 22:33 73K 
[   ]afetch-doc-2.2.0-r1.apk2024-10-25 20:45 13K 
[   ]afetch-2.2.0-r1.apk2024-10-25 20:45 9.3K 
[   ]advancescan-doc-1.18-r1.apk2024-10-25 20:45 7.0K 
[   ]advancescan-1.18-r1.apk2024-10-25 20:45 274K 
[   ]advancemame-mess-3.9-r4.apk2024-10-25 20:45 3.9M 
[   ]advancemame-menu-3.9-r4.apk2024-10-25 20:45 936K 
[   ]advancemame-doc-3.9-r4.apk2024-10-25 20:45 373K 
[   ]advancemame-data-3.9-r4.apk2024-10-25 20:45 5.8M 
[   ]advancemame-3.9-r4.apk2024-10-25 20:45 13M 
[   ]admesh-doc-0.98.5-r0.apk2024-10-25 20:45 23K 
[   ]admesh-dev-0.98.5-r0.apk2024-10-25 20:45 3.8K 
[   ]admesh-0.98.5-r0.apk2024-10-25 20:45 29K 
[   ]adjtimex-doc-1.29-r0.apk2024-10-25 20:45 7.1K 
[   ]adjtimex-1.29-r0.apk2024-10-25 20:45 21K 
[   ]adguardhome-openrc-0.107.66-r1.apk2025-10-08 22:24 1.9K 
[   ]adguardhome-0.107.66-r1.apk2025-10-08 22:24 11M 
[   ]acmetool-doc-0.2.2-r17.apk2025-10-08 22:24 46K 
[   ]acmetool-0.2.2-r17.apk2025-10-08 22:24 4.4M 
[   ]ace-of-penguins-doc-1.4-r3.apk2024-10-25 20:45 48K 
[   ]ace-of-penguins-1.4-r3.apk2024-10-25 20:45 187K 
[   ]abnfgen-doc-0.21-r0.apk2025-05-27 23:25 4.8K 
[   ]abnfgen-0.21-r0.apk2025-05-27 23:25 19K 
[   ]abc-0_git20240102-r0.apk2024-10-25 20:45 5.2M 
[   ]a2jmidid-doc-9-r3.apk2024-10-25 20:45 4.2K 
[   ]a2jmidid-9-r3.apk2024-10-25 20:45 31K 
[   ]APKINDEX.tar.gz2025-10-09 15:25 957K 
[   ]66-tools-nsrules-0.1.2.0-r0.apk2025-06-01 15:45 2.6K 
[   ]66-tools-doc-0.1.2.0-r0.apk2025-06-01 15:45 39K 
[   ]66-tools-dev-0.1.2.0-r0.apk2025-06-01 15:45 1.7K 
[   ]66-tools-dbg-0.1.2.0-r0.apk2025-06-01 15:45 106K 
[   ]66-tools-0.1.2.0-r0.apk2025-06-01 15:45 71K 
[   ]66-init-0.8.2.1-r0.apk2025-06-05 23:37 1.3K 
[   ]66-doc-0.8.2.1-r0.apk2025-06-05 23:37 190K 
[   ]66-dev-0.8.2.1-r0.apk2025-06-05 23:37 1.7M 
[   ]66-dbg-0.8.2.1-r0.apk2025-06-05 23:37 738K 
[   ]66-0.8.2.1-r0.apk2025-06-05 23:37 317K 
[   ]9base-troff-6-r2.apk2024-10-25 20:45 495K 
[   ]9base-doc-6-r2.apk2024-10-25 20:45 63K 
[   ]9base-6-r2.apk2024-10-25 20:45 2.0M 
[   ]3proxy-openrc-0.9.4-r2.apk2025-04-04 05:42 1.4K 
[   ]3proxy-doc-0.9.4-r2.apk2025-04-04 05:42 24K 
[   ]3proxy-0.9.4-r2.apk2025-04-04 05:42 391K