Index of /alpine/edge/testing/x86
Name
Last modified
Size
Description
Parent Directory
-
3proxy-0.9.5-r1.apk
2025-11-17 13:00
417K
3proxy-doc-0.9.5-r1.apk
2025-11-17 13:00
26K
3proxy-openrc-0.9.5-r1.apk
2025-11-17 13:00
1.7K
3proxy-systemd-0.9.5-r1.apk
2025-11-17 13:00
1.8K
9base-6-r2.apk
2024-10-25 20:10
1.9M
9base-doc-6-r2.apk
2024-10-25 20:10
64K
9base-troff-6-r2.apk
2024-10-25 20:10
487K
66-0.8.2.1-r0.apk
2025-06-03 19:11
279K
66-dbg-0.8.2.1-r0.apk
2025-06-03 19:11
701K
66-dev-0.8.2.1-r0.apk
2025-06-03 19:11
1.7M
66-doc-0.8.2.1-r0.apk
2025-06-03 19:11
190K
66-init-0.8.2.1-r0.apk
2025-06-03 19:11
1.5K
66-tools-0.1.2.0-r0.apk
2025-06-01 16:07
53K
66-tools-dbg-0.1.2.0-r0.apk
2025-06-01 16:07
103K
66-tools-dev-0.1.2.0-r0.apk
2025-06-01 16:07
2.0K
66-tools-doc-0.1.2.0-r0.apk
2025-06-01 16:07
39K
66-tools-nsrules-0.1.2.0-r0.apk
2025-06-01 16:07
2.9K
81voltd-1.1.0-r0.apk
2026-01-11 18:16
13K
81voltd-doc-1.1.0-r0.apk
2026-01-11 18:16
2.2K
81voltd-openrc-1.1.0-r0.apk
2026-01-11 18:16
1.7K
81voltd-systemd-1.1.0-r0.apk
2026-01-11 18:16
1.7K
APKINDEX.tar.gz
2026-01-27 15:00
643K
a2jmidid-9-r3.apk
2024-10-25 20:10
31K
a2jmidid-doc-9-r3.apk
2024-10-25 20:10
4.2K
abc-0_git20240102-r0.apk
2024-10-25 20:10
4.9M
abnfgen-0.21-r0.apk
2025-05-27 21:26
18K
abnfgen-doc-0.21-r0.apk
2025-05-27 21:26
5.1K
ace-of-penguins-1.4-r3.apk
2024-10-25 20:10
156K
ace-of-penguins-doc-1.4-r3.apk
2024-10-25 20:10
49K
acmeleaf-0.2.2-r0.apk
2026-01-27 13:29
5.4M
acmeleaf-doc-0.2.2-r0.apk
2026-01-27 13:29
5.3K
acmetool-0.2.2-r19.apk
2026-01-19 14:36
4.8M
acmetool-doc-0.2.2-r19.apk
2026-01-19 14:36
47K
adbd-0_git20251009-r1.apk
2026-01-19 14:36
46K
adguardhome-0.107.71-r1.apk
2026-01-19 14:36
12M
adguardhome-openrc-0.107.71-r1.apk
2026-01-19 14:36
2.2K
adjtimex-1.29-r0.apk
2024-10-25 20:10
21K
adjtimex-doc-1.29-r0.apk
2024-10-25 20:10
7.1K
admesh-0.98.5-r0.apk
2024-10-25 20:10
27K
admesh-dev-0.98.5-r0.apk
2024-10-25 20:10
4.0K
admesh-doc-0.98.5-r0.apk
2024-10-25 20:10
23K
advancemame-3.9-r4.apk
2024-10-25 20:10
12M
advancemame-data-3.9-r4.apk
2024-10-25 20:10
5.8M
advancemame-doc-3.9-r4.apk
2024-10-25 20:10
374K
advancemame-menu-3.9-r4.apk
2024-10-25 20:10
953K
advancemame-mess-3.9-r4.apk
2024-10-25 20:10
3.7M
advancescan-1.18-r1.apk
2024-10-25 20:10
284K
advancescan-doc-1.18-r1.apk
2024-10-25 20:10
7.3K
afetch-2.2.0-r1.apk
2024-10-25 20:10
9.3K
afetch-doc-2.2.0-r1.apk
2024-10-25 20:10
14K
afew-3.0.1-r0.apk
2025-05-18 22:30
73K
afew-doc-3.0.1-r0.apk
2025-05-18 22:30
12K
agate-3.3.19-r0.apk
2025-09-23 21:45
732K
agate-openrc-3.3.19-r0.apk
2025-09-23 21:45
2.0K
aggregate6-1.0.14-r0.apk
2025-10-14 11:05
7.3K
aggregate6-doc-1.0.14-r0.apk
2025-10-14 11:05
2.9K
aggregate6-pyc-1.0.14-r0.apk
2025-10-14 11:05
5.8K
agrep-0.8.0-r2.apk
2024-10-25 20:10
8.1K
agrep-doc-0.8.0-r2.apk
2024-10-25 20:10
4.1K
aide-0.19.2-r0.apk
2025-09-06 20:30
84K
aide-doc-0.19.2-r0.apk
2025-09-06 20:30
16K
alacritty-graphics-0.16.1-r0.apk
2025-12-18 00:03
2.1M
alacritty-graphics-bash-completion-0.16.1-r0.apk
2025-12-18 00:03
2.8K
alacritty-graphics-doc-0.16.1-r0.apk
2025-12-18 00:03
41K
alacritty-graphics-fish-completion-0.16.1-r0.apk
2025-12-18 00:03
3.1K
alacritty-graphics-zsh-completion-0.16.1-r0.apk
2025-12-18 00:03
3.4K
alertmanager-irc-relay-0.5.1-r1.apk
2025-09-06 20:30
5.0M
alertmanager-irc-relay-openrc-0.5.1-r1.apk
2025-09-06 20:30
2.0K
alpine-lift-0.2.0-r29.apk
2026-01-19 14:36
3.9M
Alpine Linux
alps-0_git20230807-r18.apk
2026-01-19 14:36
5.8M
alps-openrc-0_git20230807-r18.apk
2026-01-19 14:36
2.0K
alttab-1.7.1-r0.apk
2024-10-25 20:10
37K
alttab-doc-1.7.1-r0.apk
2024-10-25 20:10
10K
amber-0.5.1_alpha-r0.apk
2026-01-08 14:05
880K
amber-mpris-1.2.9-r0.apk
2024-12-22 17:04
227K
amber-mpris-dev-1.2.9-r0.apk
2024-12-22 17:04
6.7K
amdgpu-fan-0.1.0-r5.apk
2024-10-25 20:10
14K
amdgpu-fan-pyc-0.1.0-r5.apk
2024-10-25 20:10
9.6K
amdgpu_top-0.11.0-r0.apk
2025-09-03 00:48
6.9M
amdgpu_top-doc-0.11.0-r0.apk
2025-09-03 00:48
4.0K
amiitool-2-r2.apk
2024-10-25 20:10
8.0K
ampy-1.1.0-r6.apk
2025-03-19 11:45
15K
ampy-doc-1.1.0-r6.apk
2025-03-19 11:45
4.1K
ampy-pyc-1.1.0-r6.apk
2025-03-19 11:45
19K
anarch-1.0-r1.apk
2024-10-25 20:10
97K
anarch-doc-1.0-r1.apk
2024-10-25 20:10
18K
anari-sdk-0.7.2-r0.apk
2024-10-25 20:10
299K
anari-sdk-dev-0.7.2-r0.apk
2024-10-25 20:10
59K
anari-sdk-static-0.7.2-r0.apk
2024-10-25 20:10
185K
android-apkeep-0.17.0-r0.apk
2024-10-25 20:10
1.9M
android-build-tools-15.0-r1.apk
2025-12-11 22:05
1.9M
android-file-transfer-4.5-r0.apk
2025-06-24 23:02
204K
android-file-transfer-cli-4.5-r0.apk
2025-06-24 23:02
122K
android-file-transfer-dev-4.5-r0.apk
2025-06-24 23:02
1.6K
android-file-transfer-libs-4.5-r0.apk
2025-06-24 23:02
141K
android-translation-layer-0_git20260106-r0.apk
2026-01-08 12:08
2.8M
android-translation-layer-dbg-0_git20260106-r0.apk
2026-01-08 12:08
824K
angband-4.2.5-r0.apk
2024-10-25 20:10
23M
ansible-bender-0.10.1-r2.apk
2024-10-25 20:10
36K
ansible-bender-doc-0.10.1-r2.apk
2024-10-25 20:10
10K
ansible-bender-pyc-0.10.1-r2.apk
2024-10-25 20:10
65K
ansifilter-2.22-r0.apk
2026-01-19 17:09
71K
ansifilter-bash-completion-2.22-r0.apk
2026-01-19 17:09
2.2K
ansifilter-doc-2.22-r0.apk
2026-01-19 17:09
22K
ansifilter-fish-completion-2.22-r0.apk
2026-01-19 17:09
2.5K
ansifilter-zsh-completion-2.22-r0.apk
2026-01-19 17:09
2.5K
antibody-6.1.1-r34.apk
2026-01-19 14:36
1.9M
antimicrox-3.5.1-r0.apk
2025-06-13 13:56
1.7M
antimicrox-doc-3.5.1-r0.apk
2025-06-13 13:56
24K
aoetools-37-r2.apk
2025-01-14 16:36
23K
aoetools-doc-37-r2.apk
2025-01-14 16:36
14K
apache-mod-auth-gssapi-1.6.5-r1.apk
2024-10-25 20:10
58K
apache-mod-auth-openidc-2.4.16.11-r1.apk
2025-05-22 07:14
212K
apache-mod-auth-openidc-doc-2.4.16.11-r1.apk
2025-05-22 07:14
4.4K
apache-mod-auth-openidc-static-2.4.16.11-r1.apk
2025-05-22 07:14
268K
apache2-mod-authnz-external-3.3.3-r0.apk
2024-10-25 20:10
8.3K
apache2-mod-authnz-external-doc-3.3.3-r0.apk
2024-10-25 20:10
10K
apache2-mod-maxminddb-1.2.0-r0.apk
2025-05-19 10:23
11K
apache2-mod-perl-2.0.13-r2.apk
2025-07-01 19:19
687K
apache2-mod-perl-dbg-2.0.13-r2.apk
2025-07-01 19:19
65K
apache2-mod-perl-dev-2.0.13-r2.apk
2025-07-01 19:19
38K
apache2-mod-perl-doc-2.0.13-r2.apk
2025-07-01 19:19
304K
apache2-mod-realdoc-1-r1.apk
2024-10-25 20:10
5.1K
apk-autoupdate-0_git20210421-r1.apk
2024-11-18 18:00
13K
apk-autoupdate-doc-0_git20210421-r1.apk
2024-11-18 18:00
7.0K
apk-snap-3.1.1-r0.apk
2024-10-25 20:10
6.6K
apk-snap-doc-3.1.1-r0.apk
2024-10-25 20:10
20K
apmpkg-1.5.1-r3.apk
2024-10-25 20:10
1.6M
apmpkg-bash-completion-1.5.1-r3.apk
2024-10-25 20:10
2.2K
apmpkg-doc-1.5.1-r3.apk
2024-10-25 20:10
3.1K
apmpkg-fish-completion-1.5.1-r3.apk
2024-10-25 20:10
2.1K
apmpkg-zsh-completion-1.5.1-r3.apk
2024-10-25 20:10
2.4K
aports-glmr-0.2-r34.apk
2026-01-19 14:36
2.8M
appcenter-8.0.0-r0.apk
2024-11-12 21:55
411K
appcenter-lang-8.0.0-r0.apk
2024-11-12 21:55
258K
appimagetool-1.9.1-r0.apk
2026-01-09 09:12
39K
aprilsh-0.7.12-r11.apk
2026-01-19 14:36
1.6K
aprilsh-client-0.7.12-r11.apk
2026-01-19 14:36
3.5M
aprilsh-doc-0.7.12-r11.apk
2026-01-19 14:36
14K
aprilsh-openrc-0.7.12-r11.apk
2026-01-19 14:36
1.8K
aprilsh-server-0.7.12-r11.apk
2026-01-19 14:36
2.6M
apt-dater-1.0.4-r4.apk
2024-10-25 20:10
58K
apt-dater-doc-1.0.4-r4.apk
2024-10-25 20:10
9.9K
apt-dater-lang-1.0.4-r4.apk
2024-10-25 20:10
13K
apt-mirror-0.5.4-r0.apk
2024-10-25 20:10
9.4K
apt-mirror-doc-0.5.4-r0.apk
2024-10-25 20:10
4.6K
apt-swarm-0.5.1-r1.apk
2025-10-12 13:44
2.9M
apt-swarm-bash-completion-0.5.1-r1.apk
2025-10-12 13:44
4.2K
apt-swarm-fish-completion-0.5.1-r1.apk
2025-10-12 13:44
5.7K
apt-swarm-openrc-0.5.1-r1.apk
2025-10-12 13:44
2.0K
apt-swarm-zsh-completion-0.5.1-r1.apk
2025-10-12 13:44
5.9K
aptdec-1.8.0-r1.apk
2025-02-08 23:44
87K
aptdec-dev-1.8.0-r1.apk
2025-02-08 23:44
3.4K
aptdec-libs-1.8.0-r1.apk
2025-02-08 23:44
16K
apulse-0.1.14-r0.apk
2025-09-06 20:30
43K
apulse-doc-0.1.14-r0.apk
2025-09-06 20:30
2.9K
apx-3.0.1-r0.apk
2026-01-22 16:14
2.3M
apx-doc-3.0.1-r0.apk
2026-01-22 16:14
2.0K
aqemu-0.9.4-r3.apk
2024-10-25 20:10
1.7M
aqemu-doc-0.9.4-r3.apk
2024-10-25 20:10
7.5K
aravis-0.8.31-r0.apk
2024-10-25 20:10
46K
aravis-dev-0.8.31-r0.apk
2024-10-25 20:10
34K
aravis-libs-0.8.31-r0.apk
2024-10-25 20:10
189K
aravis-viewer-0.8.31-r0.apk
2024-10-25 20:10
70K
aravis-viewer-lang-0.8.31-r0.apk
2024-10-25 20:10
16K
arc-20221218-r1.apk
2026-01-21 19:17
1.7K
arc-cinnamon-20221218-r1.apk
2026-01-21 19:17
67K
arc-dark-20221218-r1.apk
2026-01-21 19:17
1.7K
arc-dark-cinnamon-20221218-r1.apk
2026-01-21 19:17
67K
arc-dark-gnome-20221218-r1.apk
2026-01-21 19:17
26K
arc-dark-gtk3-20221218-r1.apk
2026-01-21 19:17
93K
arc-dark-gtk4-20221218-r1.apk
2026-01-21 19:17
86K
arc-dark-metacity-20221218-r1.apk
2026-01-21 19:17
17K
arc-dark-xfwm-20221218-r1.apk
2026-01-21 19:17
7.2K
arc-darker-20221218-r1.apk
2026-01-21 19:17
1.7K
arc-darker-gtk3-20221218-r1.apk
2026-01-21 19:17
124K
arc-darker-gtk4-20221218-r1.apk
2026-01-21 19:17
110K
arc-darker-metacity-20221218-r1.apk
2026-01-21 19:17
17K
arc-darker-xfwm-20221218-r1.apk
2026-01-21 19:17
7.2K
arc-gnome-20221218-r1.apk
2026-01-21 19:17
28K
arc-gtk3-20221218-r1.apk
2026-01-21 19:17
126K
arc-gtk4-20221218-r1.apk
2026-01-21 19:17
113K
arc-icon-theme-20161122-r0.apk
2024-10-25 20:10
4.4M
arc-lighter-20221218-r1.apk
2026-01-21 19:17
1.7K
arc-lighter-gtk3-20221218-r1.apk
2026-01-21 19:17
124K
arc-lighter-gtk4-20221218-r1.apk
2026-01-21 19:17
113K
arc-lighter-metacity-20221218-r1.apk
2026-01-21 19:17
17K
arc-lighter-xfwm-20221218-r1.apk
2026-01-21 19:17
7.1K
arc-metacity-20221218-r1.apk
2026-01-21 19:17
17K
arc-theme-20221218-r1.apk
2026-01-21 19:17
1.3K
arc-xfwm-20221218-r1.apk
2026-01-21 19:17
7.1K
arduino-cli-1.3.1-r3.apk
2026-01-19 14:36
9.1M
armagetronad-0.2.9.1.1-r0.apk
2024-10-25 20:10
1.6M
armagetronad-doc-0.2.9.1.1-r0.apk
2024-10-25 20:10
92K
art_standalone-0_git20251009-r1.apk
2026-01-19 14:36
19M
art_standalone-dbg-0_git20251009-r1.apk
2026-01-19 14:36
130M
art_standalone-dev-0_git20251009-r1.apk
2026-01-19 14:36
8.6M
asdf-0.18.0-r6.apk
2026-01-19 14:36
1.7M
asdf-doc-0.18.0-r6.apk
2026-01-19 14:36
2.2K
aspell-es-1.11-r0.apk
2024-10-25 20:10
533K
atac-0.18.1-r0.apk
2024-11-25 21:58
4.7M
atlantik-3.5.10_git20240323-r0.apk
2024-10-25 20:10
396K
atlantik-doc-3.5.10_git20240323-r0.apk
2024-10-25 20:10
79K
atlantik-lang-3.5.10_git20240323-r0.apk
2024-10-25 20:10
69K
atomicparsley-20240608-r0.apk
2024-10-25 20:10
112K
atool-0.39.0-r4.apk
2024-10-25 20:10
18K
atool-bash-completion-0.39.0-r4.apk
2024-10-25 20:10
2.0K
atool-doc-0.39.0-r4.apk
2024-10-25 20:10
9.6K
aufs-util-20161219-r3.apk
2024-10-25 20:10
189K
aufs-util-dev-20161219-r3.apk
2024-10-25 20:10
1.5K
aufs-util-doc-20161219-r3.apk
2024-10-25 20:10
34K
authenticator-rs-0.8.6-r0.apk
2025-09-01 18:30
2.2M
authenticator-rs-lang-0.8.6-r0.apk
2025-09-01 18:30
4.1K
autoconf-policy-0.1-r0.apk
2024-10-25 20:10
5.5K
autoremove-torrents-1.5.5-r0.apk
2024-10-25 20:10
35K
autoremove-torrents-doc-1.5.5-r0.apk
2024-10-25 20:10
12K
autoremove-torrents-pyc-1.5.5-r0.apk
2024-10-25 20:10
54K
autorestic-1.8.3-r11.apk
2026-01-19 14:36
4.0M
autotrash-0.4.7-r0.apk
2024-10-25 20:10
23K
autotrash-pyc-0.4.7-r0.apk
2024-10-25 20:10
14K
avahi2dns-0.1.0-r9.apk
2026-01-20 02:09
2.7M
avahi2dns-openrc-0.1.0-r9.apk
2026-01-20 02:09
1.8K
avahi2dns-systemd-0.1.0-r9.apk
2026-01-20 02:09
1.8K
avara-0.7.1-r1.apk
2024-11-04 08:53
21M
avarice-2.14-r4.apk
2024-10-25 20:10
65K
avarice-doc-2.14-r4.apk
2024-10-25 20:10
9.4K
avida-0_git20190813-r1.apk
2024-10-25 20:10
2.0M
avra-1.4.2-r0.apk
2024-10-25 20:10
39K
avra-dev-1.4.2-r0.apk
2024-10-25 20:10
255K
aws-ecr-get-login-password-1.0.0_rc2-r2.apk
2026-01-19 14:36
3.4M
aws-ecr-get-login-password-doc-1.0.0_rc2-r2.apk
2026-01-19 14:36
2.3K
azote-1.14.0-r0.apk
2024-12-14 20:38
7.6M
azote-pyc-1.14.0-r0.apk
2024-12-14 20:38
98K
azpainter-3.0.11-r0.apk
2025-02-22 11:10
895K
azpainter-doc-3.0.11-r0.apk
2025-02-22 11:10
42K
azure-iot-sdk-c-static-1.11.0-r0.apk
2024-10-25 20:10
756K
b2-tools-4.5.1-r0.apk
2026-01-27 15:00
74K
b2-tools-pyc-4.5.1-r0.apk
2026-01-27 15:00
139K
backup-manager-0.7.15-r1.apk
2024-10-25 20:10
55K
bacon-3.18.0-r0.apk
2025-09-30 17:38
1.8M
baikal-0.10.1-r1.apk
2025-05-27 21:37
1.3M
baikal-mysql-0.10.1-r1.apk
2025-05-27 21:37
1.3K
baikal-pgsql-0.10.1-r1.apk
2025-05-27 21:37
1.3K
baikal-sqlite-0.10.1-r1.apk
2025-05-27 21:37
1.4K
bake-2.5.1-r0.apk
2024-10-25 20:10
121K
bakelite-0.4.2-r0.apk
2024-10-25 20:10
44K
baresip-4.1.0-r1.apk
2026-01-24 12:59
1.1M
baresip-dev-4.1.0-r1.apk
2026-01-24 12:59
16K
barman-3.17.0-r0.apk
2026-01-21 19:17
381K
barman-bash-completion-3.17.0-r0.apk
2026-01-21 19:17
1.6K
barman-doc-3.17.0-r0.apk
2026-01-21 19:17
89K
barman-pyc-3.17.0-r0.apk
2026-01-21 19:17
599K
barnyard2-2.1.14_git20160413-r1.apk
2024-10-25 20:10
141K
barnyard2-openrc-2.1.14_git20160413-r1.apk
2024-10-25 20:10
2.8K
barrier-2.4.0-r2.apk
2025-02-17 09:34
1.0M
barrier-doc-2.4.0-r2.apk
2025-02-17 09:34
13K
bartib-1.0.1-r1.apk
2024-10-25 20:10
365K
base64c-0.2.1-r0.apk
2024-10-25 20:10
4.4K
base64c-dev-0.2.1-r0.apk
2024-10-25 20:10
5.5K
bash-pinyin-completion-rs-1.0.4-r0.apk
2026-01-20 14:21
312K
bash-pinyin-completion-rs-doc-1.0.4-r0.apk
2026-01-20 14:21
14K
bat-extras-2024.08.24-r0.apk
2025-10-12 20:47
5.3K
bat-extras-batdiff-2024.08.24-r0.apk
2025-10-12 20:47
5.4K
bat-extras-batgrep-2024.08.24-r0.apk
2025-10-12 20:47
7.3K
bat-extras-batman-2024.08.24-r0.apk
2025-10-12 20:47
4.7K
bat-extras-batpipe-2024.08.24-r0.apk
2025-10-12 20:47
7.0K
bat-extras-batwatch-2024.08.24-r0.apk
2025-10-12 20:47
5.8K
bat-extras-doc-2024.08.24-r0.apk
2025-10-12 20:47
16K
bat-extras-prettybat-2024.08.24-r0.apk
2025-10-12 20:47
5.6K
batmon-0.0.1-r0.apk
2024-10-25 20:10
448K
battery-limit-openrc-1-r0.apk
2025-06-17 10:19
1.8K
bcg729-1.1.1-r1.apk
2025-10-15 08:21
34K
bcg729-dev-1.1.1-r1.apk
2025-10-15 08:21
3.6K
bchunk-1.2.2-r3.apk
2024-10-25 20:10
7.2K
bchunk-doc-1.2.2-r3.apk
2024-10-25 20:10
3.0K
bdfr-2.6.2-r1.apk
2024-10-25 20:10
131K
beancount-language-server-1.4.1-r0.apk
2025-08-03 10:42
1.4M
beard-0.4-r0.apk
2024-10-25 20:10
3.1K
beard-doc-0.4-r0.apk
2024-10-25 20:10
2.5K
beebzzr-0_git20251214-r0.apk
2026-01-19 14:36
5.2K
beebzzr-doc-0_git20251214-r0.apk
2026-01-19 14:36
2.5K
bees-0.10-r2.apk
2024-10-25 20:10
330K
bees-openrc-0.10-r2.apk
2024-10-25 20:10
1.9K
belcard-5.3.105-r0.apk
2025-02-25 12:48
12K
belcard-dev-5.3.105-r0.apk
2025-02-25 12:48
11K
belcard-libs-5.3.105-r0.apk
2025-02-25 12:48
222K
belle-sip-5.3.105-r0.apk
2025-02-25 12:51
639K
belle-sip-dev-5.3.105-r0.apk
2025-02-25 12:51
54K
belr-5.3.105-r0.apk
2025-02-25 12:52
117K
belr-dev-5.3.105-r0.apk
2025-02-25 12:52
15K
berry-lang-1.1.0-r0.apk
2024-10-25 20:10
127K
bestline-0.0_git20211108-r0.apk
2024-10-25 20:10
22K
bestline-dev-0.0_git20211108-r0.apk
2024-10-25 20:10
1.7K
bestline-doc-0.0_git20211108-r0.apk
2024-10-25 20:11
18M
bettercap-2.41.5-r1.apk
2026-01-19 14:36
19M
bettercap-doc-2.41.5-r1.apk
2026-01-19 14:36
14K
bgpq4-1.15-r0.apk
2024-10-25 20:11
32K
bgpq4-doc-1.15-r0.apk
2024-10-25 20:11
6.3K
bgs-0.8-r1.apk
2024-10-25 20:11
5.6K
bgs-doc-0.8-r1.apk
2024-10-25 20:11
2.3K
biboumi-9.0-r9.apk
2025-10-06 10:56
297K
biboumi-doc-9.0-r9.apk
2025-10-06 10:56
1.5K
biboumi-openrc-9.0-r9.apk
2025-10-06 10:56
1.9K
bindfs-1.17.7-r1.apk
2025-06-19 08:56
22K
bindfs-doc-1.17.7-r1.apk
2025-06-19 08:56
9.1K
biometryd-0.3.3-r0.apk
2025-12-09 11:12
340K
biometryd-dev-0.3.3-r0.apk
2025-12-09 11:12
13K
bionic_translation-0_git20251125-r0.apk
2026-01-08 12:09
58K
bionic_translation-dbg-0_git20251125-r0.apk
2026-01-08 12:09
136K
bionic_translation-dev-0_git20251125-r0.apk
2026-01-08 12:09
1.8K
birdtray-1.11.4-r0.apk
2025-12-12 17:03
449K
bitlbee-facebook-1.2.2-r0.apk
2024-10-25 20:11
67K
bitlbee-mastodon-1.4.5-r0.apk
2024-10-25 20:11
52K
bitritter-0.1.1-r0.apk
2024-10-25 20:11
2.1M
bkt-0.8.0-r0.apk
2024-10-25 20:11
365K
bkt-doc-0.8.0-r0.apk
2024-10-25 20:11
7.3K
blackbox-1.20220610-r1.apk
2024-10-25 20:11
16K
blip-0.10-r0.apk
2024-10-25 20:11
15K
blip-doc-0.10-r0.apk
2024-10-25 20:11
30K
bochs-2.8-r1.apk
2025-02-20 18:40
944K
bochs-doc-2.8-r1.apk
2025-02-20 18:40
139K
boinc-7.24.3-r0.apk
2024-10-25 20:11
1.6M
boinc-dev-7.24.3-r0.apk
2024-10-25 20:11
590K
boinc-doc-7.24.3-r0.apk
2024-10-25 20:11
8.0K
boinc-gui-7.24.3-r0.apk
2024-10-25 20:11
1.0M
boinc-lang-7.24.3-r0.apk
2024-10-25 20:11
877K
boinc-libs-7.24.3-r0.apk
2024-10-25 20:11
214K
boinc-screensaver-7.24.3-r0.apk
2024-10-25 20:11
141K
bomctl-0.1.9-r12.apk
2026-01-19 14:36
9.6M
bomctl-bash-completion-0.1.9-r12.apk
2026-01-19 14:36
5.1K
bomctl-fish-completion-0.1.9-r12.apk
2026-01-19 14:36
4.3K
bomctl-zsh-completion-0.1.9-r12.apk
2026-01-19 14:36
4.1K
bonzomatic-20230615-r0.apk
2024-10-25 20:11
649K
boost1.89-1.89.0-r1.apk
2026-01-19 14:36
744K
boost1.89-atomic-1.89.0-r1.apk
2026-01-19 14:36
7.9K
boost1.89-chrono-1.89.0-r1.apk
2026-01-19 14:36
17K
boost1.89-container-1.89.0-r1.apk
2026-01-19 14:36
39K
boost1.89-context-1.89.0-r1.apk
2026-01-19 14:36
4.2K
boost1.89-contract-1.89.0-r1.apk
2026-01-19 14:36
41K
boost1.89-coroutine-1.89.0-r1.apk
2026-01-19 14:36
7.6K
boost1.89-date_time-1.89.0-r1.apk
2026-01-19 14:36
2.9K
boost1.89-dev-1.89.0-r1.apk
2026-01-19 14:36
17M
boost1.89-doc-1.89.0-r1.apk
2026-01-19 14:36
2.4K
boost1.89-fiber-1.89.0-r1.apk
2026-01-19 14:36
37K
boost1.89-filesystem-1.89.0-r1.apk
2026-01-19 14:36
78K
boost1.89-graph-1.89.0-r1.apk
2026-01-19 14:36
187K
boost1.89-iostreams-1.89.0-r1.apk
2026-01-19 14:36
36K
boost1.89-json-1.89.0-r1.apk
2026-01-19 14:36
188K
boost1.89-libs-1.89.0-r1.apk
2026-01-19 14:36
590K
boost1.89-locale-1.89.0-r1.apk
2026-01-19 14:36
367K
boost1.89-log-1.89.0-r1.apk
2026-01-19 14:36
356K
boost1.89-log_setup-1.89.0-r1.apk
2026-01-19 14:36
355K
boost1.89-nowide-1.89.0-r1.apk
2026-01-19 14:36
7.6K
boost1.89-prg_exec_monitor-1.89.0-r1.apk
2026-01-19 14:36
38K
boost1.89-process-1.89.0-r1.apk
2026-01-19 14:36
33K
boost1.89-program_options-1.89.0-r1.apk
2026-01-19 14:36
179K
boost1.89-python3-1.89.0-r1.apk
2026-01-19 14:36
106K
boost1.89-random-1.89.0-r1.apk
2026-01-19 14:36
16K
boost1.89-regex-1.89.0-r1.apk
2026-01-19 14:36
144K
boost1.89-serialization-1.89.0-r1.apk
2026-01-19 14:36
98K
boost1.89-static-1.89.0-r1.apk
2026-01-19 14:36
6.9M
boost1.89-thread-1.89.0-r1.apk
2026-01-19 14:36
47K
boost1.89-timer-1.89.0-r1.apk
2026-01-19 14:36
9.4K
boost1.89-type_erasure-1.89.0-r1.apk
2026-01-19 14:36
23K
boost1.89-unit_test_framework-1.89.0-r1.apk
2026-01-19 14:36
318K
boost1.89-url-1.89.0-r1.apk
2026-01-19 14:36
141K
boost1.89-wave-1.89.0-r1.apk
2026-01-19 14:36
355K
boost1.89-wserialization-1.89.0-r1.apk
2026-01-19 14:36
70K
bootchart2-0.14.9-r1.apk
2025-11-17 13:00
136K
bootchart2-systemd-0.14.9-r1.apk
2025-11-17 13:00
2.2K
bootinfo-0.1.0-r4.apk
2024-10-25 20:11
19K
bootinfo-pyc-0.1.0-r4.apk
2024-10-25 20:11
8.2K
bootloose-0.7.1-r17.apk
2026-01-19 14:36
2.3M
bootterm-0.5-r0.apk
2024-10-25 20:11
20K
bootterm-dbg-0.5-r0.apk
2024-10-25 20:11
2.3K
bore-0.5.2-r0.apk
2024-12-15 19:26
566K
boson-0_git20211219-r0.apk
2024-10-25 20:11
19K
botan2-2.19.5-r0.apk
2025-05-28 21:40
461K
botan2-dev-2.19.5-r0.apk
2025-05-28 21:40
312K
botan2-doc-2.19.5-r0.apk
2025-05-28 21:40
306K
botan2-libs-2.19.5-r0.apk
2025-05-28 21:40
2.9M
boxes-2.3.1-r0.apk
2024-10-25 20:11
82K
boxes-doc-2.3.1-r0.apk
2024-10-25 20:11
7.1K
brial-1.2.11-r4.apk
2024-10-25 20:11
1.1M
brial-dev-1.2.11-r4.apk
2024-10-25 20:11
1.6M
btfs-2.24-r12.apk
2024-10-25 20:11
32K
btfs-doc-2.24-r12.apk
2024-10-25 20:11
2.4K
btpd-0.16-r2.apk
2024-10-25 20:11
91K
btpd-doc-0.16-r2.apk
2024-10-25 20:11
8.4K
budgie-control-center-1.4.0-r0.apk
2025-10-16 17:07
3.0M
budgie-control-center-bash-completion-1.4.0-r0.apk
2025-10-16 17:07
2.3K
budgie-control-center-lang-1.4.0-r0.apk
2025-10-16 17:07
3.9M
budgie-desktop-10.9.2-r0.apk
2025-10-16 17:07
1.4M
budgie-desktop-dev-10.9.2-r0.apk
2025-10-16 17:07
18K
budgie-desktop-doc-10.9.2-r0.apk
2025-10-16 17:07
5.7K
budgie-desktop-lang-10.9.2-r0.apk
2025-10-16 17:07
586K
budgie-screensaver-5.1.0-r0.apk
2025-10-16 17:07
77K
budgie-screensaver-doc-5.1.0-r0.apk
2025-10-16 17:07
3.3K
budgie-screensaver-lang-5.1.0-r0.apk
2025-10-16 17:07
240K
budgie-session-0.9.1-r0.apk
2025-10-16 17:07
112K
budgie-session-doc-0.9.1-r0.apk
2025-10-16 17:07
5.3K
budgie-session-lang-0.9.1-r0.apk
2025-10-16 17:07
307K
buildbot-4.3.0-r1.apk
2026-01-23 17:17
736K
buildbot-badges-4.3.0-r1.apk
2026-01-23 17:17
9.3K
buildbot-console-view-4.3.0-r1.apk
2026-01-23 17:17
23K
buildbot-grid-view-4.3.0-r1.apk
2026-01-23 17:17
11K
buildbot-pyc-4.3.0-r1.apk
2026-01-23 17:17
1.5M
buildbot-waterfall-view-4.3.0-r1.apk
2026-01-23 17:17
34K
buildbot-worker-4.3.0-r1.apk
2026-01-23 17:17
191K
buildbot-wsgi-dashboards-4.3.0-r1.apk
2026-01-23 17:17
426K
buildbot-www-4.3.0-r1.apk
2026-01-23 17:17
422K
buildcache-0.28.9-r0.apk
2024-10-25 20:11
847K
bump2version-1.0.1-r6.apk
2024-10-25 20:11
21K
bump2version-pyc-1.0.1-r6.apk
2024-10-25 20:11
29K
burp-3.2.0-r0.apk
2026-01-19 14:36
175K
burp-doc-3.2.0-r0.apk
2026-01-19 14:36
96K
burp-server-3.2.0-r0.apk
2026-01-19 14:36
36K
butane-0.25.1-r3.apk
2026-01-19 14:36
3.4M
bwrap-oci-0.2-r1.apk
2024-10-25 20:11
17K
bwrap-oci-doc-0.2-r1.apk
2024-10-25 20:11
2.5K
bzmenu-0.2.1-r3.apk
2025-08-09 02:08
1.1M
cadence-0.9.2-r1.apk
2025-10-09 10:53
1.5M
caffeine-ng-4.2.0-r1.apk
2024-10-25 20:11
100K
caffeine-ng-doc-4.2.0-r1.apk
2024-10-25 20:11
3.2K
caffeine-ng-lang-4.2.0-r1.apk
2024-10-25 20:11
34K
caja-gtkhash-plugin-1.5-r0.apk
2024-10-25 20:11
28K
capnet-assist-8.0.0-r0.apk
2025-04-14 10:08
45K
capnet-assist-lang-8.0.0-r0.apk
2025-04-14 10:08
37K
caps2esc-0.3.2-r0.apk
2024-10-25 20:11
4.6K
capsudo-0.1.3-r0.apk
2026-01-25 10:16
14K
capsudo-dbg-0.1.3-r0.apk
2026-01-25 10:16
33K
capsudo-doc-0.1.3-r0.apk
2026-01-25 10:16
8.4K
capsudo-openrc-0.1.3-r0.apk
2026-01-25 10:16
3.3K
captive-browser-0_git20210801-r3.apk
2026-01-19 14:36
1.3M
captive-browser-doc-0_git20210801-r3.apk
2026-01-19 14:36
3.7K
care-2.3.0-r1.apk
2024-10-25 20:11
102K
care-doc-2.3.0-r1.apk
2024-10-25 20:11
7.9K
cargo-crev-0.26.3-r0.apk
2025-03-02 16:14
6.2M
cargo-geiger-0.13.0-r0.apk
2025-10-10 17:33
5.3M
cargo-geiger-doc-0.13.0-r0.apk
2025-10-10 17:33
7.7K
cargo-generate-0.23.5-r0.apk
2025-10-04 13:15
2.3M
cargo-machete-0.9.1-r0.apk
2025-08-17 18:48
1.3M
cargo-machete-doc-0.9.1-r0.apk
2025-08-17 18:48
4.4K
cargo-run-bin-1.7.2-r0.apk
2024-10-25 20:11
448K
cargo-run-bin-doc-1.7.2-r0.apk
2024-10-25 20:11
5.1K
cargo-show-asm-0.2.55-r0.apk
2026-01-23 10:55
846K
cargo-show-asm-doc-0.2.55-r0.apk
2026-01-23 10:55
10K
cargo-shuttle-0.56.6-r0.apk
2025-07-29 07:56
4.9M
cargo-shuttle-bash-completion-0.56.6-r0.apk
2025-07-29 07:56
5.2K
cargo-shuttle-doc-0.56.6-r0.apk
2025-07-29 07:56
9.0K
cargo-shuttle-fish-completion-0.56.6-r0.apk
2025-07-29 07:56
9.0K
cargo-shuttle-zsh-completion-0.56.6-r0.apk
2025-07-29 07:56
7.9K
cargo-udeps-0.1.60-r0.apk
2026-01-08 23:20
5.0M
cargo-udeps-doc-0.1.60-r0.apk
2026-01-08 23:20
7.5K
cargo-update-18.0.0-r0.apk
2025-10-28 07:24
1.2M
cargo-update-doc-18.0.0-r0.apk
2025-10-28 07:24
8.5K
cargo-vendor-filterer-0.5.18-r0.apk
2025-07-24 17:30
660K
cariddi-1.4.5-r0.apk
2026-01-26 17:53
4.5M
cartero-0.2.2-r0.apk
2025-10-12 23:55
1.2M
cartero-lang-0.2.2-r0.apk
2025-10-12 23:55
45K
castero-0.9.5-r4.apk
2025-05-14 18:17
50K
castero-pyc-0.9.5-r4.apk
2025-05-14 18:17
94K
castor-0.9.0-r2.apk
2024-10-25 20:11
711K
catdoc-0.95-r1.apk
2024-10-25 20:11
112K
catdoc-doc-0.95-r1.apk
2024-10-25 20:11
9.2K
catfish-4.20.1-r0.apk
2025-07-07 19:20
128K
catfish-doc-4.20.1-r0.apk
2025-07-07 19:20
13K
catfish-lang-4.20.1-r0.apk
2025-07-07 19:20
167K
catfish-pyc-4.20.1-r0.apk
2025-07-07 19:20
104K
catppuccin-whiskers-2.5.1-r0.apk
2025-11-29 18:59
1.6M
catppuccin-whiskers-doc-2.5.1-r0.apk
2025-11-29 18:59
2.3K
cava-0.10.7-r0.apk
2026-01-19 14:36
49K
cbqn-0.10.0-r0.apk
2025-11-30 12:22
1.0M
cc65-2.19-r0.apk
2024-10-25 20:11
8.9M
ccrtp-2.1.2-r0.apk
2024-10-25 20:11
112K
ccrtp-dev-2.1.2-r0.apk
2024-10-25 20:11
53K
ccrtp-doc-2.1.2-r0.apk
2024-10-25 20:11
31K
ccze-0.2.1-r1.apk
2024-10-25 20:11
51K
ccze-dev-0.2.1-r1.apk
2024-10-25 20:11
3.3K
ccze-doc-0.2.1-r1.apk
2024-10-25 20:11
8.8K
cdba-1.0-r2.apk
2024-10-25 20:11
8.0K
cdba-server-1.0-r2.apk
2024-10-25 20:11
22K
cdist-7.0.0-r6.apk
2024-10-25 20:11
511K
cdist-pyc-7.0.0-r6.apk
2024-10-25 20:11
128K
cdogs-sdl-2.3.2-r0.apk
2025-10-09 18:46
28M
certbot-dns-hetzner-2.0.1-r1.apk
2025-10-06 11:44
10K
certbot-dns-hetzner-pyc-2.0.1-r1.apk
2025-10-06 11:44
6.5K
certbot-dns-njalla-2.0.0-r0.apk
2024-11-27 23:05
9.3K
certbot-dns-njalla-pyc-2.0.0-r0.apk
2024-11-27 23:05
4.2K
certbot-dns-pdns-0.1.1-r1.apk
2025-08-28 01:19
8.6K
certbot-dns-pdns-pyc-0.1.1-r1.apk
2025-08-28 01:19
3.9K
certigo-1.16.0-r29.apk
2026-01-19 14:36
4.0M
certstrap-1.3.0-r30.apk
2026-01-19 14:36
2.5M
cfssl-1.6.5-r11.apk
2026-01-19 14:36
31M
cgiirc-0.5.12-r1.apk
2024-10-25 20:11
133K
cgo-0.6.1-r1.apk
2024-10-25 20:11
11K
cgo-doc-0.6.1-r1.apk
2024-10-25 20:11
4.1K
charls-2.4.2-r0.apk
2024-10-25 20:11
67K
charls-dev-2.4.2-r0.apk
2024-10-25 20:11
27K
charta-0.8.2-r2.apk
2026-01-19 14:36
2.1M
chasquid-1.17.0-r1.apk
2026-01-19 14:36
12M
chasquid-doc-1.17.0-r1.apk
2026-01-19 14:36
11K
chasquid-openrc-1.17.0-r1.apk
2026-01-19 14:36
2.0K
checkpolicy-3.6-r0.apk
2024-10-25 20:11
354K
checkpolicy-doc-3.6-r0.apk
2024-10-25 20:11
4.2K
cherrytree-1.4.0-r0.apk
2025-03-26 17:40
2.7M
cherrytree-doc-1.4.0-r0.apk
2025-03-26 17:40
2.1K
cherrytree-lang-1.4.0-r0.apk
2025-03-26 17:40
859K
chess-tui-2.0.0-r0.apk
2025-12-13 01:11
1.4M
chess-tui-doc-2.0.0-r0.apk
2025-12-13 01:11
2.2K
chim-1.1.2-r1.apk
2024-10-25 20:11
1.7M
chim-doc-1.1.2-r1.apk
2024-10-25 20:11
2.8K
chimerautils-15.0.3-r0.apk
2026-01-10 22:51
1.2M
chimerautils-dbg-15.0.3-r0.apk
2026-01-10 22:51
2.9M
chocolate-doom-3.1.1-r0.apk
2025-08-19 01:30
1.6M
chocolate-doom-doc-3.1.1-r0.apk
2025-08-19 01:30
233K
cilium-cli-0.16.13-r11.apk
2026-01-19 14:36
57M
cilium-cli-bash-completion-0.16.13-r11.apk
2026-01-19 14:36
5.1K
cilium-cli-fish-completion-0.16.13-r11.apk
2026-01-19 14:36
4.3K
cilium-cli-zsh-completion-0.16.13-r11.apk
2026-01-19 14:36
4.0K
cimg-3.4.1-r0.apk
2024-10-25 20:11
826K
circuslinux-1.0.3-r1.apk
2024-10-25 20:11
20K
circuslinux-data-1.0.3-r1.apk
2024-10-25 20:11
1.1M
circuslinux-doc-1.0.3-r1.apk
2024-10-25 20:11
18K
ckb-next-0.6.2-r1.apk
2025-09-06 20:30
1.4M
ckb-next-daemon-0.6.2-r1.apk
2025-09-06 20:30
70K
ckb-next-daemon-openrc-0.6.2-r1.apk
2025-09-06 20:30
1.8K
ckb-next-dev-0.6.2-r1.apk
2025-09-06 20:30
4.9K
clatd-2.1.0-r0.apk
2026-01-08 21:57
15K
clementine-1.4.1_git20260109-r1.apk
2026-01-25 11:50
6.6M
clevis-21-r0.apk
2025-01-20 04:17
54K
clevis-bash-completion-21-r0.apk
2025-01-20 04:17
2.0K
clevis-dbg-21-r0.apk
2025-01-20 04:17
57K
clevis-doc-21-r0.apk
2025-01-20 04:17
23K
clevis-extra-pins-0_git20230629-r0.apk
2024-10-25 20:11
4.7K
click-0.5.2-r4.apk
2025-02-17 09:34
160K
click-dev-0.5.2-r4.apk
2025-02-17 09:34
9.1K
click-doc-0.5.2-r4.apk
2025-02-17 09:34
3.3K
click-pyc-0.5.2-r4.apk
2025-02-17 09:34
175K
clinfo-3.0.23.01.25-r0.apk
2024-10-25 20:11
46K
clinfo-doc-3.0.23.01.25-r0.apk
2024-10-25 20:11
6.5K
cliphist-0.7.0-r2.apk
2026-01-19 14:36
1.0M
cliphist-fzf-0.7.0-r2.apk
2026-01-19 14:36
1.8K
clipit-1.4.5-r3.apk
2024-10-25 20:11
67K
clipit-doc-1.4.5-r3.apk
2024-10-25 20:11
2.4K
cliquer-1.23-r0.apk
2025-08-12 04:15
7.8K
cliquer-dev-1.23-r0.apk
2025-08-12 04:15
7.5K
cliquer-libs-1.23-r0.apk
2025-08-12 04:15
25K
cliquer-static-1.23-r0.apk
2025-08-12 04:15
28K
cliquer-tests-1.23-r0.apk
2025-08-12 04:15
24K
cloudflared-2025.11.1-r12.apk
2026-01-19 14:36
10M
cloudflared-doc-2025.11.1-r12.apk
2026-01-19 14:36
1.9K
cloudflared-openrc-2025.11.1-r12.apk
2026-01-19 14:36
1.8K
cloudfoundry-cli-8.7.9-r15.apk
2026-01-19 14:36
9.5M
cluster-glue-1.0.12-r5.apk
2024-10-25 20:11
249K
cluster-glue-dev-1.0.12-r5.apk
2024-10-25 20:11
957K
cluster-glue-doc-1.0.12-r5.apk
2024-10-25 20:11
33K
cluster-glue-libs-1.0.12-r5.apk
2024-10-25 20:11
112K
cmusfm-0.5.0-r1.apk
2025-08-27 04:14
16K
cobang-2.3.1-r0.apk
2026-01-06 13:45
50K
cobang-lang-2.3.1-r0.apk
2026-01-06 13:45
16K
coccinelle-1.1.1-r2.apk
2024-10-25 20:11
6.4M
coccinelle-bash-completion-1.1.1-r2.apk
2024-10-25 20:11
2.9K
coccinelle-doc-1.1.1-r2.apk
2024-10-25 20:11
16K
cocogitto-6.5.0-r0.apk
2025-11-02 18:21
1.8M
cocogitto-bash-completion-6.5.0-r0.apk
2025-11-02 18:21
3.2K
cocogitto-doc-6.5.0-r0.apk
2025-11-02 18:21
40K
cocogitto-fish-completion-6.5.0-r0.apk
2025-11-02 18:21
3.8K
cocogitto-zsh-completion-6.5.0-r0.apk
2025-11-02 18:21
3.2K
code-minimap-0.6.7-r0.apk
2024-12-12 19:38
371K
code-minimap-doc-0.6.7-r0.apk
2024-12-12 19:38
8.0K
codec2-1.2.0-r1.apk
2025-11-22 18:51
671K
codec2-dev-1.2.0-r1.apk
2025-11-22 18:51
15K
coldbrew-1.0-r0.apk
2026-01-06 20:14
3.9K
colormake-0.9.20170221-r0.apk
2024-10-25 20:11
4.0K
colormake-doc-0.9.20170221-r0.apk
2024-10-25 20:11
2.7K
colorpicker-0_git20201128-r1.apk
2024-10-25 20:11
4.2K
comet-0.3.2-r0.apk
2026-01-05 01:46
2.8M
comics-downloader-0.33.8-r16.apk
2026-01-19 14:36
4.0M
comics-downloader-gui-0.33.8-r16.apk
2026-01-19 14:36
5.8M
commit-lsp-0.1.0-r0.apk
2025-05-08 12:15
2.1M
commoncpp-7.0.1-r1.apk
2024-10-25 20:11
318K
commoncpp-dev-7.0.1-r1.apk
2024-10-25 20:11
173K
commoncpp-doc-7.0.1-r1.apk
2024-10-25 20:11
15K
commoncpp-tools-7.0.1-r1.apk
2024-10-25 20:11
44K
compiz-0.9.14.2-r13.apk
2025-11-29 00:01
6.3M
compiz-dev-0.9.14.2-r13.apk
2025-11-29 00:01
117K
compiz-lang-0.9.14.2-r13.apk
2025-11-29 00:01
1.2M
compiz-pyc-0.9.14.2-r13.apk
2025-11-29 00:01
111K
compiz-utils-0.9.14.2-r13.apk
2025-11-29 00:01
3.3K
comrak-0.50.0-r0.apk
2026-01-22 12:39
1.0M
comrak-doc-0.50.0-r0.apk
2026-01-22 12:39
11K
conntracct-0.2.7-r37.apk
2026-01-19 14:36
5.3M
conntracct-openrc-0.2.7-r37.apk
2026-01-19 14:36
1.9K
conserver-8.2.7-r0.apk
2025-12-18 18:05
125K
conserver-doc-8.2.7-r0.apk
2025-12-18 18:05
28K
conserver-openrc-8.2.7-r0.apk
2025-12-18 18:05
1.7K
console_bridge-1.0.2-r0.apk
2024-10-25 20:11
9.8K
console_bridge-dev-1.0.2-r0.apk
2024-10-25 20:11
4.7K
consul-replicate-0.4.0-r37.apk
2026-01-19 14:36
3.1M
contractor-0.3.5-r0.apk
2024-11-12 21:54
27K
convert2json-2.4.1-r0.apk
2025-12-15 16:19
1.3K
convert2json-bson-2.4.1-r0.apk
2025-12-15 16:19
1.3K
convert2json-bson-jaq-2.4.1-r0.apk
2025-12-15 16:19
267K
convert2json-bson-json-2.4.1-r0.apk
2025-12-15 16:19
259K
convert2json-cbor-2.4.1-r0.apk
2025-12-15 16:19
1.3K
convert2json-cbor-jaq-2.4.1-r0.apk
2025-12-15 16:19
228K
convert2json-cbor-json-2.4.1-r0.apk
2025-12-15 16:19
220K
convert2json-csv-2.4.1-r0.apk
2025-12-15 16:19
1.3K
convert2json-csv-jaq-2.4.1-r0.apk
2025-12-15 16:19
248K
convert2json-csv-json-2.4.1-r0.apk
2025-12-15 16:19
239K
convert2json-doc-2.4.1-r0.apk
2025-12-15 16:19
13K
convert2json-ini-2.4.1-r0.apk
2025-12-15 16:19
1.3K
convert2json-ini-jaq-2.4.1-r0.apk
2025-12-15 16:19
209K
convert2json-ini-json-2.4.1-r0.apk
2025-12-15 16:19
200K
convert2json-jaq-2.4.1-r0.apk
2025-12-15 16:19
1.4K
convert2json-json-2.4.1-r0.apk
2025-12-15 16:19
1.3K
convert2json-messagepack-2.4.1-r0.apk
2025-12-15 16:19
1.3K
convert2json-messagepack-jaq-2.4.1-r0.apk
2025-12-15 16:19
225K
convert2json-messagepack-json-2.4.1-r0.apk
2025-12-15 16:19
216K
convert2json-plist-2.4.1-r0.apk
2025-12-15 16:19
1.3K
convert2json-plist-jaq-2.4.1-r0.apk
2025-12-15 16:19
269K
convert2json-plist-json-2.4.1-r0.apk
2025-12-15 16:19
261K
convert2json-rsv-2.4.1-r0.apk
2025-12-15 16:19
1.3K
convert2json-rsv-jaq-2.4.1-r0.apk
2025-12-15 16:19
189K
convert2json-rsv-json-2.4.1-r0.apk
2025-12-15 16:19
178K
convert2json-toml-2.4.1-r0.apk
2025-12-15 16:19
1.3K
convert2json-toml-jaq-2.4.1-r0.apk
2025-12-15 16:19
266K
convert2json-toml-json-2.4.1-r0.apk
2025-12-15 16:19
257K
convert2json-xml-2.4.1-r0.apk
2025-12-15 16:19
1.3K
convert2json-xml-jaq-2.4.1-r0.apk
2025-12-15 16:19
223K
convert2json-xml-json-2.4.1-r0.apk
2025-12-15 16:19
214K
convert2json-yaml-2.4.1-r0.apk
2025-12-15 16:19
1.3K
convert2json-yaml-jaq-2.4.1-r0.apk
2025-12-15 16:19
296K
convert2json-yaml-json-2.4.1-r0.apk
2025-12-15 16:19
287K
copyq-13.0.0-r1.apk
2025-12-04 14:22
2.8M
copyq-bash-completion-13.0.0-r1.apk
2025-12-04 14:22
2.3K
copyq-doc-13.0.0-r1.apk
2025-12-04 14:22
3.5K
corosync-3.1.10-r0.apk
2025-12-27 21:42
296K
corosync-dev-3.1.10-r0.apk
2025-12-27 21:42
443K
corosync-doc-3.1.10-r0.apk
2025-12-27 21:42
191K
corosync-openrc-3.1.10-r0.apk
2025-12-27 21:42
1.8K
cortex-tenant-1.15.7-r3.apk
2026-01-19 14:36
4.5M
cortex-tenant-openrc-1.15.7-r3.apk
2026-01-19 14:36
2.0K
cowsay-3.04-r2.apk
2024-10-25 20:11
18K
cowsay-doc-3.04-r2.apk
2024-10-25 20:11
4.0K
cpiped-0.1.0-r0.apk
2024-10-25 20:11
6.8K
cpp-httplib-0.30.1-r0.apk
2026-01-10 15:30
96K
cpp-httplib-doc-0.30.1-r0.apk
2026-01-10 15:30
14K
cpplint-2.0.2-r0.apk
2025-04-13 23:24
80K
cpplint-pyc-2.0.2-r0.apk
2025-04-13 23:24
99K
cpu-x-5.2.0-r1.apk
2025-05-06 10:02
2.1M
cpu-x-bash-completion-5.2.0-r1.apk
2025-05-06 10:02
2.0K
cpu-x-fish-completion-5.2.0-r1.apk
2025-05-06 10:02
2.2K
cpu-x-lang-5.2.0-r1.apk
2025-05-06 10:02
266K
cpu-x-zsh-completion-5.2.0-r1.apk
2025-05-06 10:02
2.1K
cpuburn-1.4a_git20160316-r2.apk
2024-10-25 20:11
4.1K
crazydiskinfo-1.1.0-r1.apk
2024-10-25 20:11
36K
createrepo_c-1.1.4-r1.apk
2025-12-31 18:35
49K
createrepo_c-bash-completion-1.1.4-r1.apk
2025-12-31 18:35
2.9K
createrepo_c-dev-1.1.4-r1.apk
2025-12-31 18:35
31K
createrepo_c-doc-1.1.4-r1.apk
2025-12-31 18:35
8.6K
createrepo_c-libs-1.1.4-r1.apk
2025-12-31 18:35
89K
crispy-doom-7.1-r0.apk
2025-09-24 02:49
1.8M
crispy-doom-doc-7.1-r0.apk
2025-09-24 02:49
107K
crossplane-0.5.8-r3.apk
2024-10-25 20:11
30K
crossplane-pyc-0.5.8-r3.apk
2024-10-25 20:11
39K
crowdsec-1.7.6-r0.apk
2026-01-25 19:05
42M
crowdsec-email-plugin-1.7.6-r0.apk
2026-01-25 19:05
6.6M
crowdsec-file-plugin-1.7.6-r0.apk
2026-01-25 19:05
6.5M
crowdsec-http-plugin-1.7.6-r0.apk
2026-01-25 19:05
6.5M
crowdsec-openrc-1.7.6-r0.apk
2026-01-25 19:05
1.8K
crowdsec-sentinel-plugin-1.7.6-r0.apk
2026-01-25 19:05
6.5M
crowdsec-slack-plugin-1.7.6-r0.apk
2026-01-25 19:05
6.6M
crowdsec-splunk-plugin-1.7.6-r0.apk
2026-01-25 19:05
6.5M
crun-vm-0.3.0-r0.apk
2024-11-12 11:35
1.1M
crun-vm-doc-0.3.0-r0.apk
2024-11-12 11:35
13K
cscope-15.9-r1.apk
2024-10-25 20:11
155K
cscope-doc-15.9-r1.apk
2024-10-25 20:11
7.5K
csfml-2.5.2-r0.apk
2024-10-25 20:11
104K
csfml-dev-2.5.2-r0.apk
2024-10-25 20:11
77K
csfml-doc-2.5.2-r0.apk
2024-10-25 20:11
204K
csmith-2.3.0-r2.apk
2024-10-25 20:11
330K
csmith-doc-2.3.0-r2.apk
2024-10-25 20:11
3.1K
csol-1.6.0-r0.apk
2024-10-25 20:11
37K
csol-doc-1.6.0-r0.apk
2024-10-25 20:11
3.8K
ctorrent-dnh-3.3.2-r2.apk
2024-10-25 20:11
99K
cups-pdf-3.0.2-r0.apk
2025-07-04 21:11
21K
curlftpfs-0.9.2-r3.apk
2024-10-25 20:11
27K
curlftpfs-doc-0.9.2-r3.apk
2024-10-25 20:11
6.1K
curtail-1.13.0-r0.apk
2025-07-05 20:40
30K
curtail-lang-1.13.0-r0.apk
2025-07-05 20:40
78K
cutechess-1.3.1-r0.apk
2024-10-25 20:11
1.1M
cutechess-cli-1.3.1-r0.apk
2024-10-25 20:11
349K
cutechess-cli-doc-1.3.1-r0.apk
2024-10-25 20:11
6.6K
cutechess-doc-1.3.1-r0.apk
2024-10-25 20:11
3.6K
cvise-2.11.0-r0.apk
2025-03-08 13:29
5.9M
cvise-pyc-2.11.0-r0.apk
2025-03-08 13:29
60K
cvs-fast-export-1.65-r0.apk
2024-10-25 20:11
47K
cvs-fast-export-doc-1.65-r0.apk
2024-10-25 20:11
17K
cvs-fast-export-tools-1.65-r0.apk
2024-10-25 20:11
8.7K
cyrus-sasl-xoauth2-0.2-r1.apk
2024-10-25 20:11
6.8K
cyrus-sasl-xoauth2-doc-0.2-r1.apk
2024-10-25 20:11
2.3K
cyrus-sasl-xoauth2-static-0.2-r1.apk
2024-10-25 20:11
7.1K
cz-viator-hourglass-black-20210706-r0.apk
2024-10-25 20:11
219K
daemontools-0.76-r3.apk
2024-10-25 20:11
66K
daemontools-openrc-0.76-r3.apk
2024-10-25 20:11
2.0K
daktilo-0.6.0-r0.apk
2024-10-25 20:11
1.8M
daktilo-bash-completion-0.6.0-r0.apk
2024-10-25 20:11
2.2K
daktilo-doc-0.6.0-r0.apk
2024-10-25 20:11
8.7K
daktilo-fish-completion-0.6.0-r0.apk
2024-10-25 20:11
1.9K
daktilo-zsh-completion-0.6.0-r0.apk
2024-10-25 20:11
2.3K
dam-0_git20250728-r0.apk
2025-12-22 21:22
13K
dam-doc-0_git20250728-r0.apk
2025-12-22 21:22
2.3K
dasht-2.4.0-r0.apk
2024-10-25 20:11
14K
dasht-doc-2.4.0-r0.apk
2024-10-25 20:11
11K
dasht-zsh-completion-2.4.0-r0.apk
2024-10-25 20:11
2.1K
davmail-6.5.1-r0.apk
2025-11-14 21:16
8.3M
dbmate-2.28.0-r2.apk
2026-01-19 14:36
12M
dbmate-doc-2.28.0-r2.apk
2026-01-19 14:36
2.3K
dbus-broker-37-r0.apk
2025-06-17 10:18
82K
dbus-broker-doc-37-r0.apk
2025-06-17 10:18
5.9K
dcmtk-3.7.0-r0.apk
2025-12-28 20:54
1.3M
dcmtk-dev-3.7.0-r0.apk
2025-12-28 20:54
1.7M
dcmtk-doc-3.7.0-r0.apk
2025-12-28 20:54
258K
dcmtk-openrc-3.7.0-r0.apk
2025-12-28 20:54
1.7K
dcnnt-0.10.0-r1.apk
2024-10-25 20:11
28K
dcnnt-doc-0.10.0-r1.apk
2024-10-25 20:11
6.6K
dcnnt-pyc-0.10.0-r1.apk
2024-10-25 20:11
62K
ddcci-driver-linux-src-0.4.5-r2.apk
2025-03-19 11:45
19K
ddgr-2.2-r0.apk
2024-10-25 20:11
20K
ddgr-bash-completion-2.2-r0.apk
2024-10-25 20:11
2.2K
ddgr-doc-2.2-r0.apk
2024-10-25 20:11
12K
ddgr-fish-completion-2.2-r0.apk
2024-10-25 20:11
2.3K
ddgr-zsh-completion-2.2-r0.apk
2024-10-25 20:11
2.7K
ddserver-0_git20200930-r1.apk
2024-10-25 20:11
13K
deadbeef-soxr-20180801-r0.apk
2024-10-25 20:11
6.2K
debconf-1.5.82-r0.apk
2024-10-25 20:11
69K
debconf-bash-completion-1.5.82-r0.apk
2024-10-25 20:11
1.9K
debconf-doc-1.5.82-r0.apk
2024-10-25 20:11
27K
debconf-lang-1.5.82-r0.apk
2024-10-25 20:11
132K
debconf-utils-1.5.82-r0.apk
2024-10-25 20:11
6.7K
decoder-0.7.0-r0.apk
2025-04-11 18:13
2.0M
decoder-lang-0.7.0-r0.apk
2025-04-11 18:13
59K
dehydrated-0.7.1-r0.apk
2024-10-25 20:11
26K
desed-1.2.1-r1.apk
2024-10-25 20:11
403K
desed-doc-1.2.1-r1.apk
2024-10-25 20:11
2.9K
desync-0.9.6-r11.apk
2026-01-19 14:36
8.1M
detox-2.0.0-r0.apk
2024-10-25 20:11
108K
detox-doc-2.0.0-r0.apk
2024-10-25 20:11
21K
deviced-0_git20250427-r0.apk
2025-07-05 20:03
127K
deviced-dev-0_git20250427-r0.apk
2025-07-05 20:03
26K
deviced-openrc-0_git20250427-r0.apk
2025-07-05 20:03
1.7K
devil-1.8.0-r0.apk
2024-10-25 20:11
269K
devil-dev-1.8.0-r0.apk
2024-10-25 20:11
13K
dewduct-0.2.3-r0.apk
2024-10-25 20:11
1.1M
dfl-applications-0.3.0-r0.apk
2025-08-21 07:57
71K
dfl-applications-dev-0.3.0-r0.apk
2025-08-21 07:57
3.9K
dfl-ipc-0.3.0-r0.apk
2025-08-21 07:57
52K
dfl-ipc-dev-0.3.0-r0.apk
2025-08-21 07:57
4.8K
dfl-login1-0.3.0-r0.apk
2025-08-21 07:57
38K
dfl-login1-dev-0.3.0-r0.apk
2025-08-21 07:57
3.7K
dfl-sni-0.3.0-r0.apk
2025-08-21 07:57
64K
dfl-sni-dev-0.3.0-r0.apk
2025-08-21 07:57
5.0K
dfu-programmer-1.1.0-r0.apk
2024-10-25 20:11
33K
dfu-programmer-bash-completion-1.1.0-r0.apk
2024-10-25 20:11
2.8K
dfu-programmer-doc-1.1.0-r0.apk
2024-10-25 20:11
5.8K
dhewm3-1.5.4-r0.apk
2025-02-17 09:34
5.0M
diceware-1.0.1-r0.apk
2025-01-13 22:49
334K
diceware-pyc-1.0.1-r0.apk
2025-01-13 22:49
18K
disfetch-3.7-r0.apk
2024-10-25 20:11
8.3K
diskonaut-0.11.0-r3.apk
2024-10-25 20:11
454K
diskus-0.8.0-r0.apk
2025-05-18 22:15
357K
dislocker-0.7.3-r6.apk
2025-07-19 22:46
12K
dislocker-doc-0.7.3-r6.apk
2025-07-19 22:46
6.0K
dislocker-libs-0.7.3-r6.apk
2025-07-19 22:46
47K
distroshelf-1.3.0-r0.apk
2025-12-27 21:42
3.8M
distroshelf-lang-1.3.0-r0.apk
2025-12-27 21:42
37K
dlib-20.0-r0.apk
2026-01-19 14:36
837K
dlib-dev-20.0-r0.apk
2026-01-19 14:36
2.4M
dmarc-cat-0.15.0-r12.apk
2026-01-19 14:36
3.0M
dmarc-metrics-exporter-1.2.0-r0.apk
2024-11-29 22:02
25K
dmarc-metrics-exporter-openrc-1.2.0-r0.apk
2024-11-29 22:02
1.9K
dmarc-metrics-exporter-pyc-1.2.0-r0.apk
2024-11-29 22:02
46K
dmenu-wl-0.1-r0.apk
2025-07-02 10:32
17K
dmenu-wl-doc-0.1-r0.apk
2025-07-02 10:32
4.1K
dnscontrol-4.32.0-r0.apk
2026-01-25 18:45
18M
dnscontrol-doc-4.32.0-r0.apk
2026-01-25 18:45
2.3K
dnscrypt-wrapper-0.4.2-r3.apk
2024-10-25 20:11
30K
dnsperf-2.14.0-r0.apk
2024-10-25 20:11
77K
dnsperf-doc-2.14.0-r0.apk
2024-10-25 20:11
35K
dnssec-tools-2.2.3-r13.apk
2025-07-01 19:19
762K
dnssec-tools-dev-2.2.3-r13.apk
2025-07-01 19:19
186K
dnssec-tools-doc-2.2.3-r13.apk
2025-07-01 19:19
317K
doasedit-1.0.9-r0.apk
2025-10-31 14:11
3.5K
docker-volume-local-persist-1.3.0-r39.apk
2026-01-19 14:36
2.7M
docker-volume-local-persist-openrc-1.3.0-r39.apk
2026-01-19 14:36
1.8K
dockerize-0.9.6-r3.apk
2026-01-19 14:36
3.6M
dooit-3.3.3-r0.apk
2025-10-27 08:30
46K
dooit-extras-0.2.0-r0.apk
2024-12-07 20:23
13K
dooit-extras-pyc-0.2.0-r0.apk
2024-12-07 20:23
23K
dooit-pyc-3.3.3-r0.apk
2025-10-27 08:30
103K
dotenv-linter-4.0.0-r0.apk
2025-11-22 16:03
1.3M
downloader-cli-0.3.4-r2.apk
2025-05-14 18:17
2.0K
draco-1.5.7-r2.apk
2025-02-17 09:34
893K
draco-dev-1.5.7-r2.apk
2025-02-17 09:34
205K
draco-static-1.5.7-r2.apk
2025-02-17 09:34
1.4M
draco-tools-1.5.7-r2.apk
2025-02-17 09:34
1.3M
draw-0.1.1-r19.apk
2026-01-19 14:36
1.0M
drogon-1.9.4-r2.apk
2025-05-22 07:14
1.6M
drogon-dev-1.9.4-r2.apk
2025-05-22 07:14
121K
drogon-doc-1.9.4-r2.apk
2025-05-22 07:14
2.3K
droidcam-2.1.3-r3.apk
2025-09-27 13:34
19K
droidcam-gui-2.1.3-r3.apk
2025-09-27 13:34
28K
drone-cli-1.8.0-r16.apk
2026-01-19 14:36
6.0M
dropwatch-1.5.5-r2.apk
2025-12-04 14:22
16K
dropwatch-doc-1.5.5-r2.apk
2025-12-04 14:22
3.7K
drumgizmo-0.9.20-r1.apk
2024-10-25 20:11
413K
drupal7-7.103-r0.apk
2024-12-04 17:27
3.3M
drupal7-doc-7.103-r0.apk
2024-12-04 17:27
57K
dstask-0.27-r5.apk
2026-01-19 14:36
1.6M
dstask-bash-completion-0.27-r5.apk
2026-01-19 14:36
2.2K
dstask-fish-completion-0.27-r5.apk
2026-01-19 14:36
1.7K
dstask-import-0.27-r5.apk
2026-01-19 14:36
3.7M
dstask-zsh-completion-0.27-r5.apk
2026-01-19 14:36
1.7K
dublin-traceroute-0.4.2-r4.apk
2024-10-25 20:11
50K
dublin-traceroute-contrib-0.4.2-r4.apk
2024-10-25 20:11
2.9K
dublin-traceroute-dev-0.4.2-r4.apk
2024-10-25 20:11
6.9K
dublin-traceroute-doc-0.4.2-r4.apk
2024-10-25 20:11
2.3K
duc-1.4.5-r0.apk
2024-10-25 20:11
87K
duc-doc-1.4.5-r0.apk
2024-10-25 20:11
9.1K
duf-0.9.1-r3.apk
2026-01-19 14:36
1.3M
duf-doc-0.9.1-r3.apk
2026-01-19 14:36
4.7K
dulcepan-1.0.2-r0.apk
2024-10-25 20:11
21K
dum-0.1.20-r1.apk
2025-03-29 15:58
358K
dustracing2d-2.1.1-r1.apk
2024-10-25 20:11
5.2M
dvdbackup-0.4.2-r1.apk
2024-10-25 20:11
17K
dvdbackup-doc-0.4.2-r1.apk
2024-10-25 20:11
7.6K
dvdbackup-lang-0.4.2-r1.apk
2024-10-25 20:11
1.4K
dvisvgm-3.4.4-r0.apk
2025-05-18 22:44
1.1M
dvisvgm-doc-3.4.4-r0.apk
2025-05-18 22:44
26K
dwl-0.7-r0.apk
2024-10-25 20:11
29K
dwl-doc-0.7-r0.apk
2024-10-25 20:11
3.1K
e16-1.0.30-r0.apk
2024-11-05 13:22
782K
e16-doc-1.0.30-r0.apk
2024-11-05 13:22
27K
e16-lang-1.0.30-r0.apk
2024-11-05 13:22
380K
eatmemory-0.1.6-r2.apk
2024-10-25 20:11
4.3K
ecasound-2.9.3-r4.apk
2025-02-24 21:15
729K
ecasound-dev-2.9.3-r4.apk
2025-02-24 21:15
1.1M
ecasound-doc-2.9.3-r4.apk
2025-02-24 21:15
38K
ecos-2.0.10-r0.apk
2024-10-25 20:11
41K
ecos-dev-2.0.10-r0.apk
2024-10-25 20:11
28K
edit-1.2.1-r0.apk
2025-10-16 13:17
264K
edit-doc-1.2.1-r0.apk
2025-10-16 13:17
2.2K
edward-1.1.0-r0.apk
2024-10-25 20:11
1.8M
edward-doc-1.1.0-r0.apk
2024-10-25 20:11
5.3K
efl-1.28.1-r2.apk
2025-03-23 15:03
34M
efl-dev-1.28.1-r2.apk
2025-03-23 15:03
1.8M
efl-gdb-1.28.1-r2.apk
2025-03-23 15:03
1.7K
eiwd-3.10-r0.apk
2025-10-07 16:31
912K
eiwd-doc-3.10-r0.apk
2025-10-07 16:31
21K
eiwd-openrc-3.10-r0.apk
2025-10-07 16:31
1.9K
elementary-calculator-8.0.1-r0.apk
2025-09-02 02:29
73K
elementary-calculator-lang-8.0.1-r0.apk
2025-09-02 02:29
59K
elementary-camera-8.0.2-r0.apk
2025-09-02 02:25
88K
elementary-camera-lang-8.0.2-r0.apk
2025-09-02 02:25
35K
elementary-dock-8.0.2-r0.apk
2025-05-24 22:58
90K
elementary-dock-lang-8.0.2-r0.apk
2025-05-24 22:58
28K
elementary-feedback-8.1.0-r0.apk
2025-11-22 15:38
48K
elementary-feedback-lang-8.1.0-r0.apk
2025-11-22 15:38
48K
elementary-icon-theme-8.1.0-r0.apk
2025-05-13 06:39
5.0M
elementary-music-8.0.0-r0.apk
2024-10-28 22:06
75K
elementary-music-lang-8.0.0-r0.apk
2024-10-28 22:06
47K
elementary-photos-8.0.1-r2.apk
2026-01-21 19:17
1.2M
elementary-photos-lang-8.0.1-r2.apk
2026-01-21 19:17
1.0M
elementary-settings-daemon-8.3.0-r0.apk
2025-05-26 09:05
85K
elementary-settings-daemon-lang-8.3.0-r0.apk
2025-05-26 09:05
74K
elementary-settings-daemon-openrc-8.3.0-r0.apk
2025-05-26 09:05
1.8K
elementary-sound-theme-1.1.0-r0.apk
2024-11-10 22:08
83K
elementary-theme-8.1.0-r0.apk
2025-01-12 20:36
1.5M
elementary-videos-8.0.2-r0.apk
2025-09-02 02:28
118K
elementary-videos-lang-8.0.2-r0.apk
2025-09-02 02:28
83K
elf_diff-0.7.1-r3.apk
2024-10-25 20:11
108K
elf_diff-pyc-0.7.1-r3.apk
2024-10-25 20:11
108K
eludris-0.3.3-r1.apk
2024-10-25 20:11
1.9M
eludris-doc-0.3.3-r1.apk
2024-10-25 20:11
2.3K
emacs-ement-0.16-r0.apk
2025-04-23 21:27
291K
emacs-lsp-booster-0.2.1-r0.apk
2025-04-12 12:21
428K
emacs-lsp-booster-doc-0.2.1-r0.apk
2025-04-12 12:21
2.3K
emacs-persist-0.6_git20240114-r0.apk
2024-10-25 20:11
6.6K
emmylua-check-0.19.0-r0.apk
2026-01-24 12:56
1.9M
emmylua-doc-cli-0.19.0-r0.apk
2026-01-24 12:56
2.3M
emmylua-ls-0.19.0-r0.apk
2026-01-24 12:56
2.9M
emmylua-ls-doc-0.19.0-r0.apk
2026-01-24 12:56
37K
empede-0.2.3-r0.apk
2024-10-25 20:11
1.6M
empede-doc-0.2.3-r0.apk
2024-10-25 20:11
2.3K
empede-openrc-0.2.3-r0.apk
2024-10-25 20:11
1.9K
emulationstation-2.11.2-r1.apk
2024-10-25 20:11
1.3M
emulationstation-theme-gbz35-2.11.2-r1.apk
2024-10-25 20:11
3.3M
endeavour-43.0-r2.apk
2024-12-08 21:39
195K
endeavour-dev-43.0-r2.apk
2024-12-08 21:39
46K
endeavour-doc-43.0-r2.apk
2024-12-08 21:39
68K
endeavour-lang-43.0-r2.apk
2024-12-08 21:39
203K
enjoy-0.3-r1.apk
2024-10-25 20:11
11K
enlighten-0.9.2-r1.apk
2024-10-25 20:11
7.3K
enlighten-doc-0.9.2-r1.apk
2024-10-25 20:11
3.5K
envconsul-0.13.4-r2.apk
2026-01-19 14:36
5.2M
envsubst-0.1-r1.apk
2024-10-25 20:11
4.9K
epoch-1.3.0-r2.apk
2024-10-25 20:11
54K
epr-2.4.15-r1.apk
2024-10-25 20:11
16K
epr-pyc-2.4.15-r1.apk
2024-10-25 20:11
24K
ergo-ldap-0.0.1-r23.apk
2026-01-19 14:36
2.4M
ergo-ldap-doc-0.0.1-r23.apk
2026-01-19 14:36
2.3K
errands-46.2.10-r0.apk
2026-01-06 13:45
85K
errands-lang-46.2.10-r0.apk
2026-01-06 13:45
78K
espeakup-0.90-r2.apk
2024-10-25 20:11
11K
espeakup-openrc-0.90-r2.apk
2024-10-25 20:11
1.8K
esptool-4.8.1-r0.apk
2024-10-25 20:11
424K
esptool-pyc-4.8.1-r0.apk
2024-10-25 20:11
549K
ettercap-0.8.3.1-r3.apk
2024-10-25 20:11
561K
ettercap-doc-0.8.3.1-r3.apk
2024-10-25 20:11
45K
eva-0.3.1-r2.apk
2024-10-25 20:11
629K
evolution-etesync-1.1.2-r0.apk
2026-01-11 17:43
58K
evolution-etesync-lang-1.1.2-r0.apk
2026-01-11 17:43
25K
evolution-on-3.24.4-r1.apk
2025-09-18 17:36
11K
eww-0.6.0-r0.apk
2025-11-09 19:43
2.9M
exabgp-4.2.24-r1.apk
2025-09-06 20:30
385K
exabgp-doc-4.2.24-r1.apk
2025-09-06 20:30
8.1K
exabgp-openrc-4.2.24-r1.apk
2025-09-06 20:30
2.3K
exabgp-pyc-4.2.24-r1.apk
2025-09-06 20:30
778K
exercism-3.2.0-r19.apk
2026-01-19 14:36
4.3M
exercism-bash-completion-3.2.0-r19.apk
2026-01-19 14:36
2.0K
exercism-fish-completion-3.2.0-r19.apk
2026-01-19 14:36
2.4K
exercism-zsh-completion-3.2.0-r19.apk
2026-01-19 14:36
2.1K
extrace-0.9-r0.apk
2024-10-25 20:11
9.5K
extrace-doc-0.9-r0.apk
2024-10-25 20:11
3.5K
extremetuxracer-0.8.3-r0.apk
2024-10-25 20:11
40M
extremetuxracer-doc-0.8.3-r0.apk
2024-10-25 20:11
6.7K
extundelete-0.2.4-r1.apk
2024-10-25 20:11
44K
fabric-3.2.2-r1.apk
2024-10-25 20:11
55K
fabric-pyc-3.2.2-r1.apk
2024-10-25 20:11
60K
fakeroot-tcp-1.32.1-r1.apk
2024-10-25 20:11
30K
fast-double-parser-0.8.1-r0.apk
2025-10-20 10:34
25K
fastd-23-r0.apk
2025-01-27 21:33
76K
fastd-doc-23-r0.apk
2025-01-27 21:33
3.3K
fastd-openrc-23-r0.apk
2025-01-27 21:33
1.7K
fatback-1.3-r2.apk
2024-10-25 20:11
30K
fatback-doc-1.3-r2.apk
2024-10-25 20:11
16K
fathom-1.3.1-r19.apk
2026-01-19 14:36
5.1M
fatrace-0.18.0-r0.apk
2025-07-27 19:28
10K
fatrace-doc-0.18.0-r0.apk
2025-07-27 19:28
3.3K
fatresize-1.1.0-r1.apk
2024-10-25 20:11
9.5K
fatresize-doc-1.1.0-r1.apk
2024-10-25 20:11
15K
faultstat-0.01.11-r0.apk
2024-10-25 20:11
14K
faultstat-bash-completion-0.01.11-r0.apk
2024-10-25 20:11
2.3K
faultstat-doc-0.01.11-r0.apk
2024-10-25 20:11
3.0K
faust-2.79.3-r0.apk
2025-06-07 17:04
8.5M
faust-dev-2.79.3-r0.apk
2025-06-07 17:04
1.4M
faust-doc-2.79.3-r0.apk
2025-06-07 17:04
17M
faust-static-2.79.3-r0.apk
2025-06-07 17:04
536K
faust-tools-2.79.3-r0.apk
2025-06-07 17:04
121K
faust-vim-2.79.3-r0.apk
2025-06-07 17:04
2.6K
fava-1.28-r0.apk
2024-10-25 20:11
1.1M
fava-pyc-1.28-r0.apk
2024-10-25 20:11
164K
fbcur-1.0.1-r1.apk
2024-10-25 20:11
4.7K
fbcur-doc-1.0.1-r1.apk
2024-10-25 20:11
2.2K
fbdebug-1.0.1-r0.apk
2025-12-19 21:47
5.6K
fceux-2.6.6-r4.apk
2025-09-27 13:34
2.9M
fceux-doc-2.6.6-r4.apk
2025-09-27 13:34
105K
fdm-materials-5.2.2-r1.apk
2024-10-25 20:11
60K
featherpad-1.6.2-r0.apk
2025-11-28 19:44
784K
featherpad-lang-1.6.2-r0.apk
2025-11-28 19:44
485K
felix-2.16.1-r0.apk
2025-05-16 08:34
684K
femto-2.24.1-r0.apk
2025-09-06 20:30
65K
femto-doc-2.24.1-r0.apk
2025-09-06 20:30
48K
fff-2.2-r0.apk
2024-10-25 20:11
11K
fff-doc-2.2-r0.apk
2024-10-25 20:11
9.0K
ffmpeg4-4.4.6-r0.apk
2026-01-04 01:25
39K
ffmpeg4-dev-4.4.6-r0.apk
2026-01-04 01:25
281K
ffmpeg4-libavcodec-4.4.6-r0.apk
2026-01-04 01:25
6.2M
ffmpeg4-libavdevice-4.4.6-r0.apk
2026-01-04 01:25
57K
ffmpeg4-libavfilter-4.4.6-r0.apk
2026-01-04 01:25
1.6M
ffmpeg4-libavformat-4.4.6-r0.apk
2026-01-04 01:25
1.4M
ffmpeg4-libavutil-4.4.6-r0.apk
2026-01-04 01:25
301K
ffmpeg4-libpostproc-4.4.6-r0.apk
2026-01-04 01:25
27K
ffmpeg4-libswresample-4.4.6-r0.apk
2026-01-04 01:25
48K
ffmpeg4-libswscale-4.4.6-r0.apk
2026-01-04 01:25
151K
ffms2-5.0-r2.apk
2025-09-27 13:34
78K
ffms2-dev-5.0-r2.apk
2025-09-27 13:34
7.5K
ffms2-doc-5.0-r2.apk
2025-09-27 13:34
30K
ffsend-0.2.76-r4.apk
2024-10-25 20:11
1.5M
ffsend-bash-completion-0.2.76-r4.apk
2024-10-25 20:11
3.6K
ffsend-fish-completion-0.2.76-r4.apk
2024-10-25 20:11
3.6K
ffsend-zsh-completion-0.2.76-r4.apk
2024-10-25 20:11
4.6K
fheroes2-1.1.13-r0.apk
2025-12-22 16:42
1.8M
fheroes2-lang-1.1.13-r0.apk
2025-12-22 16:42
1.8M
fildesh-0.2.0-r0.apk
2024-10-25 20:11
66K
fildesh-doc-0.2.0-r0.apk
2024-10-25 20:11
2.1K
fildesh-vim-0.2.0-r0.apk
2024-10-25 20:11
3.5K
filebrowser-2.27.0-r17.apk
2026-01-19 14:36
7.8M
filebrowser-openrc-2.27.0-r17.apk
2026-01-19 14:36
1.8K
fileshelter-6.2.0-r4.apk
2025-09-27 22:22
339K
fileshelter-openrc-6.2.0-r4.apk
2025-09-27 22:22
1.7K
findtow-0.1-r0.apk
2024-10-25 20:11
4.9K
finger-0.5-r0.apk
2024-10-25 20:11
6.8K
finger-doc-0.5-r0.apk
2024-10-25 20:11
3.8K
firehol-3.1.7-r2.apk
2024-10-25 20:11
85K
firehol-doc-3.1.7-r2.apk
2024-10-25 20:11
675K
firehol-openrc-3.1.7-r2.apk
2024-10-25 20:11
2.1K
flamelens-0.3.1-r0.apk
2025-04-29 07:46
1.2M
flamelens-doc-0.3.1-r0.apk
2025-04-29 07:46
3.7K
flann-1.9.2-r1.apk
2025-02-17 09:34
1.7M
flann-dev-1.9.2-r1.apk
2025-02-17 09:34
951K
flann-doc-1.9.2-r1.apk
2025-02-17 09:34
2.5K
flare-engine-1.14-r1.apk
2025-11-05 11:39
4.6M
flare-engine-doc-1.14-r1.apk
2025-11-05 11:39
2.5K
flare-game-1.14-r0.apk
2024-10-25 20:11
2.2K
flatseal-2.3.1-r0.apk
2025-06-19 15:30
43K
flatseal-doc-2.3.1-r0.apk
2025-06-19 15:30
8.5K
flatseal-lang-2.3.1-r0.apk
2025-06-19 15:30
79K
flauschige-uhr-0.1-r1.apk
2024-10-25 20:11
4.2K
flawz-0.3.0-r0.apk
2024-11-03 21:06
1.2M
flawz-bash-completion-0.3.0-r0.apk
2024-11-03 21:06
2.1K
flawz-doc-0.3.0-r0.apk
2024-11-03 21:06
6.0K
flawz-fish-completion-0.3.0-r0.apk
2024-11-03 21:06
1.9K
flawz-zsh-completion-0.3.0-r0.apk
2024-11-03 21:06
2.2K
flowd-0.9.1-r11.apk
2025-07-01 19:19
78K
flowd-dev-0.9.1-r11.apk
2025-07-01 19:19
8.1K
flowd-doc-0.9.1-r11.apk
2025-07-01 19:19
10K
flowd-openrc-0.9.1-r11.apk
2025-07-01 19:19
1.9K
fluent-bit-4.2.0-r0.apk
2025-12-04 14:22
7.8M
fluent-bit-dev-4.2.0-r0.apk
2025-12-04 14:22
141K
fluent-bit-openrc-4.2.0-r0.apk
2025-12-04 14:22
1.7K
fnf-0.1-r0.apk
2024-10-25 20:11
18K
fnf-doc-0.1-r0.apk
2024-10-25 20:11
4.6K
foma-0.10.0_git20240712-r0.apk
2024-10-25 20:11
329K
foma-dev-0.10.0_git20240712-r0.apk
2024-10-25 20:11
8.5K
font-andika-six-6.210-r0.apk
2025-09-28 11:20
1.5M
font-anonymous-pro-1.002-r2.apk
2024-10-25 20:11
264K
font-aref-ruqaa-1.006-r0.apk
2025-04-12 12:25
357K
font-babelstone-han-15.1.3-r0.apk
2024-10-25 20:11
18M
font-cascadia-2407.24-r1.apk
2025-05-27 14:41
1.3K
font-cascadia-code-2407.24-r1.apk
2025-05-27 14:41
526K
font-cascadia-mono-2407.24-r1.apk
2025-05-27 14:41
507K
font-chivo-0_git20221110-r0.apk
2024-10-25 20:11
792K
font-chivo-mono-0_git20221110-r0.apk
2024-10-25 20:11
626K
font-comic-neue-2.51-r0.apk
2024-10-25 20:11
249K
font-comic-neue-doc-2.51-r0.apk
2024-10-25 20:11
1.0M
font-commit-mono-1.143-r0.apk
2024-10-25 20:11
251K
font-cousine-0_git20210228-r0.apk
2024-10-25 20:11
110K
font-fantasque-sans-1.8.0-r0.apk
2024-10-25 20:11
1.2K
font-fantasque-sans-doc-1.8.0-r0.apk
2024-10-25 20:11
5.5K
font-fantasque-sans-largelineheight-1.8.0-r0.apk
2024-10-25 20:11
316K
font-fantasque-sans-largelineheightnoloopk-1.8.0-r0.apk
2024-10-25 20:11
316K
font-fantasque-sans-noloopk-1.8.0-r0.apk
2024-10-25 20:11
316K
font-fantasque-sans-normal-1.8.0-r0.apk
2024-10-25 20:11
316K
font-fira-code-6.2-r0.apk
2024-10-25 20:11
836K
font-fira-code-vf-6.2-r0.apk
2024-10-25 20:11
145K
font-firamath-0.3.4-r0.apk
2024-10-25 20:11
118K
font-fontawesome-4-4.7.0-r3.apk
2024-10-25 20:11
205K
font-hanazono-20170904-r2.apk
2025-09-15 12:59
29M
font-intel-one-mono-1.3.0-r0.apk
2024-10-25 20:11
281K
font-katex-0.16.2-r0.apk
2024-10-25 20:11
852K
font-material-icons-4.0.0-r0.apk
2024-10-25 20:11
652K
font-monaspace-1.101-r0.apk
2024-10-25 20:11
1.5K
font-monaspace-argon-1.101-r0.apk
2024-10-25 20:11
2.2M
font-monaspace-krypton-1.101-r0.apk
2024-10-25 20:11
2.1M
font-monaspace-neon-1.101-r0.apk
2024-10-25 20:11
2.1M
font-monaspace-radon-1.101-r0.apk
2024-10-25 20:11
2.7M
font-monaspace-xenon-1.101-r0.apk
2024-10-25 20:11
2.3M
font-monocraft-4.0-r0.apk
2024-10-25 20:11
677K
font-openmoji-16.0.0-r0.apk
2025-08-11 06:31
1.4M
font-siji-20190218_git-r2.apk
2024-10-25 20:11
24K
font-stix-otf-2.13-r0.apk
2024-10-25 20:11
2.0M
font-stix-ttf-2.13-r0.apk
2024-10-25 20:11
430K
font-tamzen-1.11.5-r1.apk
2024-10-25 20:11
62K
font-terminus-ttf-4.49.3-r0.apk
2025-07-11 19:54
538K
font-tinos-0_git20210228-r0.apk
2024-10-25 20:11
199K
font-tiresias-0_git20200704-r0.apk
2024-10-25 20:11
568K
font-tiresias-doc-0_git20200704-r0.apk
2024-10-25 20:11
58K
foolsm-1.0.21-r0.apk
2024-10-25 20:11
34K
foolsm-doc-1.0.21-r0.apk
2024-10-25 20:11
3.9K
foolsm-openrc-1.0.21-r0.apk
2024-10-25 20:11
1.5K
formiko-1.5.0-r1.apk
2026-01-07 10:30
107K
formiko-doc-1.5.0-r1.apk
2026-01-07 10:30
8.5K
formiko-pyc-1.5.0-r1.apk
2026-01-07 10:30
61K
fpc-3.2.2-r4.apk
2024-10-25 20:11
70M
fpc-doc-3.2.2-r4.apk
2024-10-25 20:11
1.2M
fpc-stage0-3.2.2-r3.apk
2024-10-25 20:11
6.2M
fpp-0.9.5-r0.apk
2024-10-25 20:11
29K
fpp-doc-0.9.5-r0.apk
2024-10-25 20:11
5.6K
fq-0.16.0-r1.apk
2026-01-19 14:36
4.8M
freealut-1.1.0-r1.apk
2024-10-25 20:11
19K
freealut-dev-1.1.0-r1.apk
2024-10-25 20:11
25K
freediameter-1.5.0-r1.apk
2024-10-25 20:11
9.0K
freediameter-dev-1.5.0-r1.apk
2024-10-25 20:11
54K
freediameter-extensions-1.5.0-r1.apk
2024-10-25 20:11
323K
freediameter-libfdcore-1.5.0-r1.apk
2024-10-25 20:11
159K
freediameter-libfdproto-1.5.0-r1.apk
2024-10-25 20:11
87K
frescobaldi-3.3.0-r1.apk
2024-10-25 20:11
3.5M
frescobaldi-doc-3.3.0-r1.apk
2024-10-25 20:11
2.5K
frescobaldi-pyc-3.3.0-r1.apk
2024-10-25 20:11
1.2M
freshrss-1.28.0-r0.apk
2026-01-02 01:39
1.7M
freshrss-doc-1.28.0-r0.apk
2026-01-02 01:39
912K
freshrss-lang-1.28.0-r0.apk
2026-01-02 01:39
507K
freshrss-mysql-1.28.0-r0.apk
2026-01-02 01:39
1.3K
freshrss-openrc-1.28.0-r0.apk
2026-01-02 01:39
2.5K
freshrss-pgsql-1.28.0-r0.apk
2026-01-02 01:39
1.3K
freshrss-sqlite-1.28.0-r0.apk
2026-01-02 01:39
1.3K
freshrss-themes-1.28.0-r0.apk
2026-01-02 01:39
1.5M
fulcrum-1.9.8-r1.apk
2024-10-25 20:11
967K
fulcrum-admin-1.9.8-r1.apk
2024-10-25 20:11
7.9K
fulcrum-doc-1.9.8-r1.apk
2024-10-25 20:11
22K
fusee-nano-0.5.3-r1.apk
2024-10-25 20:11
21K
fusee-nano-udev-0.5.3-r1.apk
2024-10-25 20:11
1.7K
fuseiso-20070708-r0.apk
2025-04-14 01:10
17K
fuseiso-doc-20070708-r0.apk
2025-04-14 01:10
2.6K
fusesoc-2.3-r0.apk
2024-10-25 20:11
46K
fusesoc-pyc-2.3-r0.apk
2024-10-25 20:11
89K
fxfloorboard-katana-mk2-20240515-r1.apk
2024-10-25 20:11
5.6M
fxfloorboard-katana-mk2-doc-20240515-r1.apk
2024-10-25 20:11
1.1M
fxload-2008.10.13-r0.apk
2025-12-06 23:07
8.8K
fynedesk-0.4.0-r3.apk
2026-01-19 14:36
13M
gambit-4.9.5-r1.apk
2025-04-07 07:48
9.8M
gambit-dev-4.9.5-r1.apk
2025-04-07 07:48
6.6M
gambit-doc-4.9.5-r1.apk
2025-04-07 07:48
4.3K
game-devices-udev-0.25-r0.apk
2025-10-23 05:08
6.9K
gammastep-2.0.9-r3.apk
2024-10-25 20:11
90K
gammastep-doc-2.0.9-r3.apk
2024-10-25 20:11
14K
gammastep-lang-2.0.9-r3.apk
2024-10-25 20:11
78K
gammastep-pyc-2.0.9-r3.apk
2024-10-25 20:11
17K
gatling-0.16-r6.apk
2024-10-25 20:11
158K
gatling-doc-0.16-r6.apk
2024-10-25 20:11
9.1K
gatling-openrc-0.16-r6.apk
2024-10-25 20:11
2.8K
gaupol-1.12-r2.apk
2024-10-25 20:11
276K
gaupol-doc-1.12-r2.apk
2024-10-25 20:11
2.4K
gaupol-lang-1.12-r2.apk
2024-10-25 20:11
277K
gaupol-pyc-1.12-r2.apk
2024-10-25 20:11
419K
gb-0.4.4-r37.apk
2026-01-19 14:36
7.5M
gcli-2.9.1-r0.apk
2025-11-09 16:39
130K
gcli-doc-2.9.1-r0.apk
2025-11-09 16:39
38K
gdcm-3.2.2-r3.apk
2025-12-02 05:43
436K
gdcm-dev-3.2.2-r3.apk
2025-12-02 05:43
450K
gdcm-doc-3.2.2-r3.apk
2025-12-02 05:43
55K
gdcm-doc-html-3.2.2-r3.apk
2025-12-02 05:43
8.9M
gdcm-doc-pdf-3.2.2-r3.apk
2025-12-02 05:43
14M
gearman-dev-1.1.22-r0.apk
2025-09-06 20:30
1.0M
gearman-libs-1.1.22-r0.apk
2025-09-06 20:30
87K
gearmand-1.1.22-r0.apk
2025-09-06 20:30
188K
gearmand-doc-1.1.22-r0.apk
2025-09-06 20:30
189K
gearmand-openrc-1.1.22-r0.apk
2025-09-06 20:30
1.8K
gede-2.22.1-r0.apk
2025-11-02 20:48
441K
genact-1.5.1-r0.apk
2026-01-26 15:26
1.7M
generate-kernel-cmdline-1.0-r0.apk
2026-01-22 23:37
167K
generate-kernel-cmdline-doc-1.0-r0.apk
2026-01-22 23:37
2.9K
geoclue-stumbler-1.1-r0.apk
2025-10-04 17:03
45K
geodns-3.3.0-r19.apk
2026-01-19 14:36
5.1M
geodns-logs-3.3.0-r19.apk
2026-01-19 14:36
4.7M
geodns-openrc-3.3.0-r19.apk
2026-01-19 14:36
1.8K
geomyidae-0.34-r2.apk
2024-10-25 20:11
16K
geomyidae-doc-0.34-r2.apk
2024-10-25 20:11
7.7K
geomyidae-openrc-0.34-r2.apk
2024-10-25 20:11
2.0K
geonames-0.3.1-r2.apk
2024-10-25 20:11
827K
geonames-dev-0.3.1-r2.apk
2024-10-25 20:11
3.0K
geonames-doc-0.3.1-r2.apk
2024-10-25 20:11
13K
geonames-lang-0.3.1-r2.apk
2024-10-25 20:11
4.6M
gesture-0.8-r0.apk
2026-01-19 14:36
12K
gesture-openrc-0.8-r0.apk
2026-01-19 14:36
1.7K
getmail6-6.19.10-r0.apk
2025-08-19 11:29
70K
getmail6-doc-6.19.10-r0.apk
2025-08-19 11:29
139K
getmail6-pyc-6.19.10-r0.apk
2025-08-19 11:29
103K
getssl-2.48-r0.apk
2024-10-25 20:11
82K
getting-things-gnome-0.6-r4.apk
2024-12-08 21:39
715K
getting-things-gnome-doc-0.6-r4.apk
2024-12-08 21:39
497K
getting-things-gnome-lang-0.6-r4.apk
2024-12-08 21:39
229K
ghc-filesystem-1.5.14-r0.apk
2024-10-25 20:11
39K
ghq-1.8.0-r7.apk
2026-01-19 14:36
4.0M
ghq-bash-completion-1.8.0-r7.apk
2026-01-19 14:36
1.8K
ghq-doc-1.8.0-r7.apk
2026-01-19 14:36
5.5K
ghq-fish-completion-1.8.0-r7.apk
2026-01-19 14:36
2.5K
ghq-zsh-completion-1.8.0-r7.apk
2026-01-19 14:36
2.5K
gimp-plugin-gmic-3.6.0-r2.apk
2026-01-03 16:23
1.4M
ginger-2.4.0-r7.apk
2024-10-25 20:11
257K
ginger-lang-2.4.0-r7.apk
2024-10-25 20:11
125K
ginger-pyc-2.4.0-r7.apk
2024-10-25 20:11
207K
gingerbase-2.3.0-r7.apk
2024-10-25 20:11
195K
gingerbase-lang-2.3.0-r7.apk
2024-10-25 20:11
53K
gingerbase-pyc-2.3.0-r7.apk
2024-10-25 20:11
61K
git-bug-0.8.1-r7.apk
2026-01-19 14:36
10M
git-bug-bash-completion-0.8.1-r7.apk
2026-01-19 14:36
5.3K
git-bug-doc-0.8.1-r7.apk
2026-01-19 14:36
17K
git-bug-fish-completion-0.8.1-r7.apk
2026-01-19 14:36
4.3K
git-bug-zsh-completion-0.8.1-r7.apk
2026-01-19 14:36
4.0K
git-extras-7.4.0-r0.apk
2025-07-22 20:51
57K
git-extras-bash-completion-7.4.0-r0.apk
2025-07-22 20:51
2.9K
git-extras-doc-7.4.0-r0.apk
2025-07-22 20:51
65K
git-graph-0.6.0-r0.apk
2024-11-25 23:38
876K
git-graph-doc-0.6.0-r0.apk
2024-11-25 23:38
6.2K
git-quick-stats-2.8.0-r0.apk
2025-09-11 08:18
15K
git-quick-stats-doc-2.8.0-r0.apk
2025-09-11 08:18
3.5K
git-revise-0.7.0-r5.apk
2024-10-25 20:11
24K
git-revise-doc-0.7.0-r5.apk
2024-10-25 20:11
5.0K
git-revise-pyc-0.7.0-r5.apk
2024-10-25 20:11
42K
git-secret-0.5.0-r0.apk
2024-10-25 20:11
15K
git-secret-doc-0.5.0-r0.apk
2024-10-25 20:11
17K
gitoxide-0.14.0-r1.apk
2024-10-25 20:11
2.8M
gkrellm-2.3.11-r0.apk
2025-01-08 22:36
346K
gkrellm-dev-2.3.11-r0.apk
2025-01-08 22:36
17K
gkrellm-doc-2.3.11-r0.apk
2025-01-08 22:36
19K
gkrellm-lang-2.3.11-r0.apk
2025-01-08 22:36
379K
gkrellm-server-2.3.11-r0.apk
2025-01-08 22:36
52K
gl2ps-1.4.2-r0.apk
2025-10-12 21:33
37K
gl2ps-dev-1.4.2-r0.apk
2025-10-12 21:33
4.3K
gl2ps-doc-1.4.2-r0.apk
2025-10-12 21:33
230K
gl2ps-static-1.4.2-r0.apk
2025-10-12 21:33
43K
glfw-wayland-3.3.8-r3.apk
2024-10-25 20:11
63K
glfw-wayland-dbg-3.3.8-r3.apk
2024-10-25 20:11
179K
glfw-wayland-dev-3.3.8-r3.apk
2024-10-25 20:11
46K
gliderlabs-sigil-0.11.0-r11.apk
2026-01-19 14:36
3.5M
gliderlabs-sigil-doc-0.11.0-r11.apk
2026-01-19 14:36
2.4K
glmark2-2023.01-r1.apk
2024-10-25 20:11
8.1M
glmark2-doc-2023.01-r1.apk
2024-10-25 20:11
13K
gloox-1.0.28-r0.apk
2024-10-25 20:11
410K
gloox-dev-1.0.28-r0.apk
2024-10-25 20:11
878K
glow-2.1.1-r6.apk
2026-01-19 14:36
6.4M
glow-bash-completion-2.1.1-r6.apk
2026-01-19 14:36
6.1K
glow-doc-2.1.1-r6.apk
2026-01-19 14:36
3.2K
glow-fish-completion-2.1.1-r6.apk
2026-01-19 14:36
4.3K
glow-zsh-completion-2.1.1-r6.apk
2026-01-19 14:36
4.0K
glslviewer-3.2.4-r2.apk
2025-08-28 18:04
1.9M
gmcapsule-0.9.8-r0.apk
2025-10-07 09:39
36K
gmcapsule-openrc-0.9.8-r0.apk
2025-10-07 09:39
2.0K
gmcapsule-pyc-0.9.8-r0.apk
2025-10-07 09:39
61K
gmenuharness-0.1.4-r2.apk
2025-02-17 09:34
40K
gmenuharness-dev-0.1.4-r2.apk
2025-02-17 09:34
4.1K
gmic-3.6.0-r2.apk
2026-01-03 16:23
12M
gmic-bash-completion-3.6.0-r2.apk
2026-01-03 16:23
29K
gmic-dev-3.6.0-r2.apk
2026-01-03 16:23
7.6K
gmic-doc-3.6.0-r2.apk
2026-01-03 16:23
223K
gmic-libs-3.6.0-r2.apk
2026-01-03 16:23
1.2M
gmic-qt-3.6.0-r2.apk
2026-01-03 16:23
1.7M
gmid-2.1.1-r1.apk
2026-01-12 13:47
233K
gmid-doc-2.1.1-r1.apk
2026-01-12 13:47
15K
gmid-openrc-2.1.1-r1.apk
2026-01-12 13:47
2.3K
gnome-common-3.18.0-r3.apk
2024-10-25 20:11
12K
gnome-latex-3.49.0-r0.apk
2026-01-06 14:12
368K
gnome-latex-doc-3.49.0-r0.apk
2026-01-06 14:12
110K
gnome-latex-lang-3.49.0-r0.apk
2026-01-06 14:12
530K
gnome-mahjongg-49.0.1-r0.apk
2025-10-18 21:03
2.4M
gnome-mahjongg-doc-49.0.1-r0.apk
2025-10-18 21:03
2.2K
gnome-mahjongg-lang-49.0.1-r0.apk
2025-10-18 21:03
170K
gnome-metronome-1.3.0-r0.apk
2024-10-25 20:11
451K
gnome-metronome-lang-1.3.0-r0.apk
2024-10-25 20:11
25K
gnome-mimeapps-0.1-r1.apk
2025-08-09 02:08
3.7K
gnu-apl-1.9-r0.apk
2024-10-25 20:11
1.3M
gnu-apl-dev-1.9-r0.apk
2024-10-25 20:11
560K
gnu-apl-doc-1.9-r0.apk
2024-10-25 20:11
1.6M
gnucobol-3.2-r0.apk
2025-07-28 14:02
771K
gnucobol-doc-3.2-r0.apk
2025-07-28 14:02
71K
gnucobol-lang-3.2-r0.apk
2025-07-28 14:02
316K
go-away-0.7.0-r1.apk
2025-09-06 20:30
8.4M
go-away-openrc-0.7.0-r1.apk
2025-09-06 20:30
2.3K
go-jsonnet-0.21.0-r6.apk
2026-01-19 14:36
6.8M
go-mtpfs-1.0.0-r34.apk
2026-01-19 14:36
1.2M
go-passbolt-cli-0.3.2-r9.apk
2026-01-19 14:36
6.4M
go-tools-0.41.0-r1.apk
2026-01-19 14:36
48M
gobang-0.1.0_alpha5-r1.apk
2024-10-25 20:11
1.9M
gobuster-3.8.0-r4.apk
2026-01-19 14:36
3.8M
godini-1.0.0-r6.apk
2026-01-19 14:36
1.6M
godini-doc-1.0.0-r6.apk
2026-01-19 14:36
15K
godot-4.5.1-r1.apk
2026-01-19 14:36
63M
godot-doc-4.5.1-r1.apk
2026-01-19 14:36
4.6K
godot-templates-4.5.1-r1.apk
2026-01-19 14:36
47M
gomp-1.0.0-r18.apk
2026-01-19 14:36
3.8M
goomwwm-1.0.0-r5.apk
2024-10-25 20:11
46K
goreman-0.3.15-r19.apk
2026-01-19 14:36
2.6M
goshs-1.1.3-r1.apk
2026-01-19 14:36
6.5M
goshs-doc-1.1.3-r1.apk
2026-01-19 14:36
2.3K
gotify-cli-2.3.2-r11.apk
2026-01-19 14:36
4.5M
goxel-0.15.1-r0.apk
2024-10-25 20:11
1.8M
gprbuild-25.0.0-r0.apk
2025-10-29 02:55
13M
gpscorrelate-2.3-r0.apk
2025-03-27 06:36
53K
gpscorrelate-cli-2.3-r0.apk
2025-03-27 06:36
28K
gpscorrelate-doc-2.3-r0.apk
2025-03-27 06:36
285K
gpscorrelate-lang-2.3-r0.apk
2025-03-27 06:36
17K
gr-satellites-5.5.0-r6.apk
2025-10-12 12:13
513K
gr-satellites-dev-5.5.0-r6.apk
2025-10-12 12:13
13K
gr-satellites-doc-5.5.0-r6.apk
2025-10-12 12:13
4.5K
gradia-1.11.1-r0.apk
2026-01-06 14:50
786K
gradia-dev-1.11.1-r0.apk
2026-01-06 14:50
2.5K
gradia-lang-1.11.1-r0.apk
2026-01-06 14:50
64K
grcov-0.8.20-r0.apk
2024-11-11 10:03
1.9M
greetd-mini-wl-greeter-0_git20230821-r0.apk
2024-10-25 20:11
20K
greetd-mini-wl-greeter-bash-completion-0_git20230821-r0.apk
2024-10-25 20:11
2.2K
greetd-mini-wl-greeter-doc-0_git20230821-r0.apk
2024-10-25 20:11
3.3K
grpc-health-check-0.1.1-r3.apk
2024-10-25 20:11
1.0M
grpcui-1.5.1-r5.apk
2026-01-19 14:36
9.1M
grpcurl-1.9.3-r9.apk
2026-01-19 14:36
8.7M
gsettings-qt-1.1.0-r0.apk
2025-12-09 11:12
31K
gsettings-qt-dev-1.1.0-r0.apk
2025-12-09 11:12
3.5K
gsimplecal-2.5.2-r0.apk
2025-10-16 18:48
17K
gsimplecal-doc-2.5.2-r0.apk
2025-10-16 18:48
5.8K
gssdp-1.6.4-r1.apk
2025-08-09 02:08
47K
gssdp-dev-1.6.4-r1.apk
2025-08-09 02:08
16K
gst-audio-thumbnailer-1.0_alpha3-r0.apk
2026-01-19 14:36
281K
gst-thumbnailers-1.0_alpha3-r0.apk
2026-01-19 14:36
1.3K
gst-video-thumbnailer-1.0_alpha3-r0.apk
2026-01-19 14:36
296K
gstreamermm-1.10.0-r6.apk
2025-02-17 09:34
511K
gstreamermm-dev-1.10.0-r6.apk
2025-02-17 09:34
310K
gtimelog-0.12_git20251114-r0.apk
2025-11-18 20:37
219K
gtimelog-pyc-0.12_git20251114-r0.apk
2025-11-18 20:37
102K
gtk-session-lock-0.2.0-r0.apk
2025-01-31 16:16
38K
gtk-session-lock-dev-0.2.0-r0.apk
2025-01-31 16:16
5.3K
gtkhash-1.5-r0.apk
2024-10-25 20:11
90K
gtkhash-lang-1.5-r0.apk
2024-10-25 20:11
47K
gtklock-4.0.0-r0.apk
2025-01-31 16:16
20K
gtklock-doc-4.0.0-r0.apk
2025-01-31 16:16
3.0K
gtkwave-3.3.120-r0.apk
2024-10-25 20:11
2.6M
gtkwave-doc-3.3.120-r0.apk
2024-10-25 20:11
27K
gtranslator-49.0-r0.apk
2025-09-15 07:46
144K
gtranslator-doc-49.0-r0.apk
2025-09-15 07:46
638K
gtranslator-lang-49.0-r0.apk
2025-09-15 07:46
593K
guake-3.10.1-r0.apk
2025-11-24 18:07
304K
guake-lang-3.10.1-r0.apk
2025-11-24 18:07
194K
guake-pyc-3.10.1-r0.apk
2025-11-24 18:07
186K
guestfs-tools-1.56.1-r0.apk
2025-07-22 22:05
279K
gufw-24.04-r3.apk
2024-11-19 21:42
596K
gufw-doc-24.04-r3.apk
2024-11-19 21:42
4.5K
gufw-lang-24.04-r3.apk
2024-11-19 21:42
855K
gufw-pyc-24.04-r3.apk
2024-11-19 21:42
65K
guish-2.6.11-r0.apk
2024-12-24 10:42
103K
guish-doc-2.6.11-r0.apk
2024-12-24 10:42
61K
gummiboot-48.1-r11.apk
2025-07-20 21:07
44K
gummiboot-doc-48.1-r11.apk
2025-07-20 21:07
2.9K
gummiboot-efistub-48.1-r11.apk
2025-07-20 21:07
21K
gupnp-1.6.9-r1.apk
2025-08-09 02:08
91K
gupnp-av-0.14.4-r1.apk
2025-08-09 02:08
80K
gupnp-av-dev-0.14.4-r1.apk
2025-08-09 02:08
42K
gupnp-dev-1.6.9-r1.apk
2025-08-09 02:08
50K
gupnp-dlna-0.12.0-r1.apk
2025-08-09 02:08
69K
gupnp-dlna-dev-0.12.0-r1.apk
2025-08-09 02:08
24K
gupnp-doc-1.6.9-r1.apk
2025-08-09 02:08
3.8K
gx-0.14.3-r36.apk
2026-01-19 14:36
4.9M
gx-doc-0.14.3-r36.apk
2026-01-19 14:36
2.3K
gx-go-1.9.0-r38.apk
2026-01-19 14:36
5.2M
gx-go-doc-1.9.0-r38.apk
2026-01-19 14:36
2.3K
gyosu-0.2.0-r4.apk
2026-01-19 14:36
2.0M
h4h5tools-2.2.5-r4.apk
2024-10-25 20:11
109K
h4h5tools-dev-2.2.5-r4.apk
2024-10-25 20:11
8.8K
h4h5tools-doc-2.2.5-r4.apk
2024-10-25 20:11
2.7K
h4h5tools-static-2.2.5-r4.apk
2024-10-25 20:11
103K
habitctl-0.1.0-r2.apk
2024-10-25 20:11
332K
halp-0.2.0-r0.apk
2024-10-25 20:11
925K
halp-bash-completion-0.2.0-r0.apk
2024-10-25 20:11
2.2K
halp-doc-0.2.0-r0.apk
2024-10-25 20:11
6.9K
halp-fish-completion-0.2.0-r0.apk
2024-10-25 20:11
2.0K
halp-zsh-completion-0.2.0-r0.apk
2024-10-25 20:11
2.4K
hamster-time-tracker-3.0.3-r2.apk
2024-10-25 20:11
156K
hamster-time-tracker-bash-completion-3.0.3-r2.apk
2024-10-25 20:11
2.0K
hamster-time-tracker-doc-3.0.3-r2.apk
2024-10-25 20:11
116K
hamster-time-tracker-lang-3.0.3-r2.apk
2024-10-25 20:11
206K
hamster-time-tracker-pyc-3.0.3-r2.apk
2024-10-25 20:11
358K
handlebars-1.0.0-r1.apk
2024-10-25 20:11
107K
handlebars-dev-1.0.0-r1.apk
2024-10-25 20:11
32K
handlebars-utils-1.0.0-r1.apk
2024-10-25 20:11
9.8K
haproxy-dataplaneapi2-2.9.18-r3.apk
2026-01-19 14:36
11M
haproxy-dataplaneapi2-openrc-2.9.18-r3.apk
2026-01-19 14:36
2.1K
hardinfo2-2.2.13-r0.apk
2025-09-28 11:06
3.3M
hardinfo2-doc-2.2.13-r0.apk
2025-09-28 11:06
3.0K
hardinfo2-lang-2.2.13-r0.apk
2025-09-28 11:06
292K
hardinfo2-openrc-2.2.13-r0.apk
2025-09-28 11:06
2.0K
harminv-1.4.2-r1.apk
2024-10-25 20:11
8.0K
harminv-dev-1.4.2-r1.apk
2024-10-25 20:11
3.1K
harminv-doc-1.4.2-r1.apk
2024-10-25 20:11
5.7K
harminv-libs-1.4.2-r1.apk
2024-10-25 20:11
15K
hashcat-6.2.6-r0.apk
2024-10-25 20:11
67M
hashcat-doc-6.2.6-r0.apk
2024-10-25 20:11
2.1M
hatch-1.16.1-r0.apk
2025-11-30 20:01
115K
hatch-pyc-1.16.1-r0.apk
2025-11-30 20:01
246K
hatop-0.8.2-r0.apk
2024-10-25 20:11
18K
hatop-doc-0.8.2-r0.apk
2024-10-25 20:11
3.0K
hctl-0.2.7-r0.apk
2025-05-14 00:04
1.3M
hddfancontrol-1.6.2-r0.apk
2024-10-25 20:11
33K
hddfancontrol-openrc-1.6.2-r0.apk
2024-10-25 20:11
2.2K
hddfancontrol-pyc-1.6.2-r0.apk
2024-10-25 20:11
34K
hdf4-4.2.15-r2.apk
2024-10-25 20:11
240K
hdf4-dev-4.2.15-r2.apk
2024-10-25 20:11
101K
hdf4-doc-4.2.15-r2.apk
2024-10-25 20:11
6.0K
hdf4-tools-4.2.15-r2.apk
2024-10-25 20:11
186K
heh-0.6.3-r0.apk
2026-01-21 19:17
522K
heh-doc-0.6.3-r0.apk
2026-01-21 19:17
4.1K
heisenbridge-1.15.4-r0.apk
2025-10-06 05:07
67K
heisenbridge-pyc-1.15.4-r0.apk
2025-10-06 05:07
155K
helm-diff-3.13.1-r2.apk
2026-01-19 14:36
23M
helm-ls-0.5.4-r2.apk
2026-01-19 14:36
20M
helm-ls-doc-0.5.4-r2.apk
2026-01-19 14:36
2.2K
helm-mapkubeapis-0.6.1-r2.apk
2026-01-19 14:36
22M
helm-unittest-1.0.3-r2.apk
2026-01-19 14:36
13M
helmfile-1.1.8-r2.apk
2026-01-19 14:36
63M
helmfile-bash-completion-1.1.8-r2.apk
2026-01-19 14:36
6.1K
helmfile-doc-1.1.8-r2.apk
2026-01-19 14:36
2.2K
helmfile-fish-completion-1.1.8-r2.apk
2026-01-19 14:36
4.3K
helmfile-zsh-completion-1.1.8-r2.apk
2026-01-19 14:36
4.0K
herbe-1.0.0-r0.apk
2024-10-25 20:11
5.6K
hex-0.6.0-r0.apk
2024-10-25 20:11
298K
hexedit-1.6_git20230905-r0.apk
2024-10-25 20:11
19K
hexedit-doc-1.6_git20230905-r0.apk
2024-10-25 20:11
5.6K
hfst-3.16.2-r1.apk
2026-01-19 14:36
1.5M
hfst-dev-3.16.2-r1.apk
2026-01-19 14:36
209K
hfst-doc-3.16.2-r1.apk
2026-01-19 14:36
70K
hfst-libs-3.16.2-r1.apk
2026-01-19 14:36
2.1M
hiawatha-11.6-r1.apk
2025-05-27 11:10
206K
hiawatha-doc-11.6-r1.apk
2025-05-27 11:10
21K
hiawatha-letsencrypt-11.6-r1.apk
2025-05-27 11:10
17K
hiawatha-openrc-11.6-r1.apk
2025-05-27 11:10
1.7K
hidrd-0.2.0_git20190603-r1.apk
2024-10-25 20:11
74K
hidrd-dev-0.2.0_git20190603-r1.apk
2024-10-25 20:11
129K
highfive-2.10.1-r0.apk
2025-01-15 02:52
75K
hilbish-2.3.4-r11.apk
2026-01-19 14:36
3.7M
hilbish-doc-2.3.4-r11.apk
2026-01-19 14:36
25K
himitsu-secret-service-0.1_git20250705-r1.apk
2025-10-20 13:50
16K
himitsu-secret-service-doc-0.1_git20250705-r1.apk
2025-10-20 13:50
4.0K
himitsu-secret-service-pyc-0.1_git20250705-r1.apk
2025-10-20 13:50
29K
hiprompt-gtk-py-0.8.0-r1.apk
2025-09-17 10:03
8.0K
homebank-5.9.5-r0.apk
2025-10-14 14:54
2.0M
homebank-lang-5.9.5-r0.apk
2025-10-14 14:54
942K
horizon-0.9.6-r9.apk
2024-10-25 20:11
225K
horizon-dbg-0.9.6-r9.apk
2024-10-25 20:11
3.9M
horizon-dev-0.9.6-r9.apk
2024-10-25 20:11
4.9K
horizon-doc-0.9.6-r9.apk
2024-10-25 20:11
21K
horizon-image-0.9.6-r9.apk
2024-10-25 20:11
73K
horizon-tools-0.9.6-r9.apk
2024-10-25 20:11
86K
hping3-20051105-r4.apk
2024-10-25 20:11
82K
hping3-doc-20051105-r4.apk
2024-10-25 20:11
17K
hpnssh-18.8.0-r0.apk
2025-11-28 19:41
2.8M
hpnssh-doc-18.8.0-r0.apk
2025-11-28 19:41
101K
hsetroot-1.0.5-r1.apk
2024-10-25 20:11
11K
hstdb-2.1.0-r2.apk
2024-10-25 20:11
894K
htmlcxx-0.87-r1.apk
2024-10-25 20:11
66K
htmlcxx-dev-0.87-r1.apk
2024-10-25 20:11
21K
httpie-oauth-1.0.2-r9.apk
2024-10-25 20:11
3.4K
httpie-oauth-pyc-1.0.2-r9.apk
2024-10-25 20:11
2.3K
httplz-2.2.0-r0.apk
2025-05-18 15:39
1.3M
httplz-doc-2.2.0-r0.apk
2025-05-18 15:39
2.3K
httpx-1.8.1-r0.apk
2026-01-22 18:41
19M
httpx-doc-1.8.1-r0.apk
2026-01-22 18:41
2.3K
httrack-3.49.2-r5.apk
2024-10-25 20:11
749K
httrack-doc-3.49.2-r5.apk
2024-10-25 20:11
528K
hub-2.14.2-r37.apk
2026-01-19 14:36
2.9M
hub-bash-completion-2.14.2-r37.apk
2026-01-19 14:36
4.6K
hub-doc-2.14.2-r37.apk
2026-01-19 14:36
42K
hub-fish-completion-2.14.2-r37.apk
2026-01-19 14:36
3.3K
hub-zsh-completion-2.14.2-r37.apk
2026-01-19 14:36
3.7K
hubble-cli-0.13.6-r11.apk
2026-01-19 14:36
19M
hubble-cli-bash-completion-0.13.6-r11.apk
2026-01-19 14:36
5.1K
hubble-cli-fish-completion-0.13.6-r11.apk
2026-01-19 14:36
4.3K
hubble-cli-zsh-completion-0.13.6-r11.apk
2026-01-19 14:36
4.1K
hunspell-ca-es-3.0.7-r1.apk
2026-01-27 11:53
734K
hurl-7.1.0-r0.apk
2025-12-05 18:41
2.4M
hurl-bash-completion-7.1.0-r0.apk
2025-12-05 18:41
2.3K
hurl-doc-7.1.0-r0.apk
2025-12-05 18:41
9.2K
hurl-fish-completion-7.1.0-r0.apk
2025-12-05 18:41
3.6K
hurl-zsh-completion-7.1.0-r0.apk
2025-12-05 18:41
4.1K
hw-probe-1.6.6-r2.apk
2025-07-01 19:19
124K
hwatch-0.3.11-r0.apk
2024-10-25 20:11
1.0M
hwatch-doc-0.3.11-r0.apk
2024-10-25 20:11
3.0K
hwatch-fish-completion-0.3.11-r0.apk
2024-10-25 20:11
1.8K
hwatch-zsh-completion-0.3.11-r0.apk
2024-10-25 20:11
1.9K
hx-1.0.15-r0.apk
2024-10-25 20:11
15K
hx-doc-1.0.15-r0.apk
2024-10-25 20:11
4.8K
hyfetch-2.0.5-r0.apk
2025-11-21 17:34
874K
hyfetch-bash-completion-2.0.5-r0.apk
2025-11-21 17:34
3.3K
hyfetch-doc-2.0.5-r0.apk
2025-11-21 17:34
20K
hyfetch-zsh-completion-2.0.5-r0.apk
2025-11-21 17:34
2.6K
hyperrogue-13.1i-r0.apk
2025-12-19 03:52
84M
hyperrogue-doc-13.1i-r0.apk
2025-12-19 03:52
8.0K
hypnotix-3.5-r0.apk
2024-10-25 20:11
110K
hypnotix-lang-3.5-r0.apk
2024-10-25 20:11
72K
hypridle-0.1.7-r1.apk
2025-12-14 10:57
146K
hypridle-doc-0.1.7-r1.apk
2025-12-14 10:57
2.4K
hypridle-openrc-0.1.7-r1.apk
2025-12-14 10:57
1.7K
hyprlock-0.9.2-r0.apk
2025-12-14 10:57
489K
hyprpicker-0.4.5-r1.apk
2025-12-14 10:57
125K
hyprpicker-doc-0.4.5-r1.apk
2025-12-14 10:57
3.8K
hyprsunset-0.3.3-r1.apk
2025-12-14 10:57
150K
hyprsunset-doc-0.3.3-r1.apk
2025-12-14 10:57
2.4K
hyprsunset-openrc-0.3.3-r1.apk
2025-12-14 10:57
1.8K
hyx-2024.02.29-r0.apk
2024-10-25 20:11
17K
hyx-doc-2024.02.29-r0.apk
2024-10-25 20:11
2.2K
i2util-4.2.1-r1.apk
2024-10-25 20:11
17K
i2util-dev-4.2.1-r1.apk
2024-10-25 20:11
47K
i2util-doc-4.2.1-r1.apk
2024-10-25 20:11
4.7K
i3bar-river-1.1.0-r1.apk
2025-10-29 22:52
529K
i3bar-river-openrc-1.1.0-r1.apk
2025-10-29 22:52
1.7K
i3bar-river-systemd-1.1.0-r1.apk
2025-10-29 22:52
1.9K
i3status-rust-0.34.0-r0.apk
2025-07-19 05:23
4.2M
i3status-rust-doc-0.34.0-r0.apk
2025-07-19 05:23
33K
ibus-typing-booster-2.29.0-r0.apk
2025-12-16 14:54
13M
ibus-typing-booster-lang-2.29.0-r0.apk
2025-12-16 14:54
317K
ibus-typing-booster-pyc-2.29.0-r0.apk
2025-12-16 14:54
1.5M
icesprog-0_git20240108-r1.apk
2024-10-25 20:11
8.9K
icesprog-udev-0_git20240108-r1.apk
2024-10-25 20:11
1.9K
icestorm-0_git20240517-r0.apk
2024-10-25 20:11
17M
icingaweb2-module-businessprocess-2.5.2-r0.apk
2025-09-29 06:12
110K
icingaweb2-module-businessprocess-doc-2.5.2-r0.apk
2025-09-29 06:12
2.0M
icingaweb2-module-fileshipper-1.2.0-r3.apk
2025-09-29 06:12
11K
icingaweb2-module-fileshipper-doc-1.2.0-r3.apk
2025-09-29 06:12
231K
icingaweb2-module-generictts-2.1.0-r0.apk
2025-09-29 06:12
6.3K
icingaweb2-module-generictts-doc-2.1.0-r0.apk
2025-09-29 06:12
1.8K
icingaweb2-module-pnp-1.1.0-r1.apk
2024-10-25 20:11
8.9K
icingaweb2-module-pnp-doc-1.1.0-r1.apk
2024-10-25 20:11
1.5K
identities-0.2.3-r0.apk
2026-01-03 16:24
19K
identme-0.6.0-r0.apk
2025-04-03 12:33
49K
idesk-1-r1.apk
2024-10-25 20:11
77K
ideviceinstaller-1.1.1-r4.apk
2024-10-30 22:44
15K
ideviceinstaller-doc-1.1.1-r4.apk
2024-10-30 22:44
2.5K
idevicerestore-1.0.0_git20250914-r0.apk
2025-10-06 17:35
103K
idevicerestore-doc-1.0.0_git20250914-r0.apk
2025-10-06 17:35
3.4K
ifuse-1.1.4-r5.apk
2024-10-30 22:44
11K
ifuse-doc-1.1.4-r5.apk
2024-10-30 22:44
2.3K
igrep-1.2.0-r0.apk
2024-10-25 20:11
1.6M
igrep-doc-1.2.0-r0.apk
2024-10-25 20:11
4.2K
ijq-1.2.0-r3.apk
2026-01-19 14:36
1.5M
ijq-doc-1.2.0-r3.apk
2026-01-19 14:36
3.6K
imapfilter-2.8.2-r0.apk
2024-10-25 20:11
44K
imapfilter-doc-2.8.2-r0.apk
2024-10-25 20:11
13K
imapgoose-0.4.1-r2.apk
2026-01-19 14:36
2.7M
imapgoose-doc-0.4.1-r2.apk
2026-01-19 14:36
5.7K
imapgoose-openrc-0.4.1-r2.apk
2026-01-19 14:36
1.7K
imapgoose-systemd-0.4.1-r2.apk
2026-01-19 14:36
1.8K
imediff-2.6-r1.apk
2024-10-25 20:11
42K
imediff-doc-2.6-r1.apk
2024-10-25 20:11
6.5K
imediff-pyc-2.6-r1.apk
2024-10-25 20:11
44K
imgdiff-1.0.2-r32.apk
2026-01-19 14:36
1.0M
imgdiff-doc-1.0.2-r32.apk
2026-01-19 14:36
2.3K
imrsh-0_git20210320-r1.apk
2024-10-25 20:11
9.4K
imrsh-dbg-0_git20210320-r1.apk
2024-10-25 20:11
18K
infnoise-0.3.3-r0.apk
2025-05-26 08:58
17K
infnoise-doc-0.3.3-r0.apk
2025-05-26 08:58
4.1K
infnoise-openrc-0.3.3-r0.apk
2025-05-26 08:58
1.7K
initify-0_git20171210-r1.apk
2024-10-25 20:11
3.3K
innernet-1.6.1-r0.apk
2024-10-25 20:11
2.7M
innernet-bash-completion-1.6.1-r0.apk
2024-10-25 20:11
3.8K
innernet-doc-1.6.1-r0.apk
2024-10-25 20:11
9.0K
innernet-fish-completion-1.6.1-r0.apk
2024-10-25 20:11
4.5K
innernet-openrc-1.6.1-r0.apk
2024-10-25 20:11
2.3K
innernet-zsh-completion-1.6.1-r0.apk
2024-10-25 20:11
5.5K
interception-tools-0.6.8-r3.apk
2025-10-12 14:19
105K
interception-tools-openrc-0.6.8-r3.apk
2025-10-12 14:19
1.7K
invidtui-0.4.6-r11.apk
2026-01-19 14:36
4.2M
ip2location-8.6.1-r0.apk
2024-10-25 20:11
25K
ip2location-dev-8.6.1-r0.apk
2024-10-25 20:11
12K
ip2location-doc-8.6.1-r0.apk
2024-10-25 20:11
2.7K
ipp-usb-0.9.30-r6.apk
2026-01-19 14:36
2.7M
ipp-usb-doc-0.9.30-r6.apk
2026-01-19 14:36
8.8K
ipp-usb-openrc-0.9.30-r6.apk
2026-01-19 14:36
1.7K
iprange-1.0.4-r1.apk
2024-10-25 20:11
21K
iprange-doc-1.0.4-r1.apk
2024-10-25 20:11
4.5K
irccd-4.0.3-r0.apk
2024-10-25 20:11
268K
irccd-dev-4.0.3-r0.apk
2024-10-25 20:11
9.6K
irccd-doc-4.0.3-r0.apk
2024-10-25 20:11
80K
irccd-openrc-4.0.3-r0.apk
2024-10-25 20:11
1.8K
ircdog-0.5.4-r11.apk
2026-01-19 14:36
2.6M
isoinfo-0_git20131217-r1.apk
2024-10-25 20:11
6.8K
isomd5sum-1.2.5-r0.apk
2025-07-15 08:02
24K
isomd5sum-doc-1.2.5-r0.apk
2025-07-15 08:02
3.0K
it87-src-1_p20240609-r0.apk
2024-10-25 20:11
30K
jack_capture-0.9.73_git20210429-r2.apk
2024-10-25 20:11
34K
jackal-0.64.0-r21.apk
2026-01-19 14:36
13M
jackal-openrc-0.64.0-r21.apk
2026-01-19 14:36
1.8K
jackdaw-0.3.1-r2.apk
2025-05-29 12:07
2.0M
jackdaw-pyc-0.3.1-r2.apk
2025-05-29 12:07
364K
jalv-1.6.8-r1.apk
2024-10-25 20:11
52K
jalv-doc-1.6.8-r1.apk
2024-10-25 20:11
3.2K
jalv-gtk-1.6.8-r1.apk
2024-10-25 20:11
35K
jaq-2.3.0-r0.apk
2025-07-20 10:52
793K
jaq-doc-2.3.0-r0.apk
2025-07-20 10:52
2.2K
java-gdcm-3.2.2-r3.apk
2025-12-02 05:43
648K
java-jtharness-6.0_p12-r0.apk
2024-10-25 20:11
4.0M
java-jtharness-doc-6.0_p12-r0.apk
2024-10-25 20:11
12K
java-jtharness-examples-6.0_p12-r0.apk
2024-10-25 20:11
219K
jbigkit-2.1-r2.apk
2024-10-25 20:11
63K
jbigkit-dev-2.1-r2.apk
2024-10-25 20:11
30K
jbigkit-doc-2.1-r2.apk
2024-10-25 20:11
7.3K
jdebp-redo-1.4-r1.apk
2024-10-25 20:11
104K
jdebp-redo-doc-1.4-r1.apk
2024-10-25 20:11
12K
jdupes-1.28.0-r0.apk
2024-10-25 20:11
29K
jdupes-doc-1.28.0-r0.apk
2024-10-25 20:11
9.0K
jedi-language-server-0.45.1-r0.apk
2025-04-28 22:57
32K
jedi-language-server-pyc-0.45.1-r0.apk
2025-04-28 22:57
50K
jfrog-cli-2.45.0-r18.apk
2026-01-19 14:36
9.6M
jhead-3.08-r0.apk
2024-10-25 20:11
32K
jhead-doc-3.08-r0.apk
2024-10-25 20:11
7.9K
jotdown-0.7.0-r0.apk
2025-03-11 20:12
234K
jrsonnet-cli-0.4.2-r1.apk
2024-10-25 20:11
571K
jsmn-1.1.0-r2.apk
2024-10-25 20:11
4.7K
json2tsv-1.2-r0.apk
2024-10-25 20:11
6.9K
json2tsv-doc-1.2-r0.apk
2024-10-25 20:11
5.2K
json2tsv-jaq-1.2-r0.apk
2024-10-25 20:11
1.9K
json2tsv-jaq-doc-1.2-r0.apk
2024-10-25 20:11
2.3K
jsonnet-bundler-0.6.0-r11.apk
2026-01-19 14:36
3.5M
jsonnet-language-server-0.16.0-r2.apk
2026-01-19 14:36
4.5M
junit2html-31.0.2-r0.apk
2024-10-25 20:11
17K
junit2html-pyc-31.0.2-r0.apk
2024-10-25 20:11
24K
jwt-cli-6.2.0-r0.apk
2024-12-14 18:18
838K
k2-0_git20250903-r0.apk
2026-01-09 22:13
81K
k3sup-0.13.6-r11.apk
2026-01-19 14:36
2.9M
k3sup-bash-completion-0.13.6-r11.apk
2026-01-19 14:36
5.0K
k3sup-fish-completion-0.13.6-r11.apk
2026-01-19 14:36
4.3K
k3sup-zsh-completion-0.13.6-r11.apk
2026-01-19 14:36
4.0K
kabmat-2.7.0-r0.apk
2024-10-25 20:11
61K
kabmat-doc-2.7.0-r0.apk
2024-10-25 20:11
3.5K
kapow-0.7.1-r19.apk
2026-01-19 14:36
3.8M
katana-1.4.0-r0.apk
2026-01-22 01:25
17M
katana-doc-1.4.0-r0.apk
2026-01-22 01:25
2.3K
katarakt-0.3-r0.apk
2026-01-05 20:13
131K
kbs2-0.7.3-r0.apk
2025-07-30 07:28
1.2M
kbs2-bash-completion-0.7.3-r0.apk
2025-07-30 07:28
3.2K
kbs2-fish-completion-0.7.3-r0.apk
2025-07-30 07:28
3.4K
kbs2-zsh-completion-0.7.3-r0.apk
2025-07-30 07:28
4.0K
kcbench-0.9.14-r0.apk
2026-01-07 16:40
37K
kcbench-doc-0.9.14-r0.apk
2026-01-07 16:40
20K
kdiskmark-3.2.0-r0.apk
2025-07-01 19:19
182K
kdiskmark-lang-3.2.0-r0.apk
2025-07-01 19:19
32K
keepsecret-1.0.0-r0.apk
2025-12-15 16:22
138K
keepsecret-lang-1.0.0-r0.apk
2025-12-15 16:22
27K
kerberoast-0.2.0-r2.apk
2025-05-29 12:07
9.3K
kerberoast-pyc-0.2.0-r2.apk
2025-05-29 12:07
15K
kew-3.7.3-r0.apk
2026-01-06 13:45
654K
kew-doc-3.7.3-r0.apk
2026-01-06 13:45
3.7K
keybase-client-6.2.8-r16.apk
2026-01-19 14:36
19M
keystone-0.9.2-r6.apk
2024-10-25 20:11
1.4M
keystone-dev-0.9.2-r6.apk
2024-10-25 20:11
7.3K
keystone-python-0.9.2-r6.apk
2024-10-25 20:11
1.7M
keystone-python-pyc-0.9.2-r6.apk
2024-10-25 20:11
9.7K
kfc-0.1.4-r0.apk
2024-10-25 20:11
58K
khinsider-2.0.7-r26.apk
2026-01-19 14:36
3.7M
khronos-4.0.1-r0.apk
2024-10-25 20:11
56K
khronos-lang-4.0.1-r0.apk
2024-10-25 20:11
26K
kile-3.0_beta4-r0.apk
2025-10-27 14:05
2.4M
kile-doc-3.0_beta4-r0.apk
2025-10-27 14:05
4.6M
kile-lang-3.0_beta4-r0.apk
2025-10-27 14:05
2.7M
kimchi-3.0.0-r8.apk
2025-02-10 22:47
529K
kimchi-lang-3.0.0-r8.apk
2025-02-10 22:47
172K
kimchi-pyc-3.0.0-r8.apk
2025-02-10 22:47
476K
kine-0.10.1-r19.apk
2026-01-19 14:36
8.3M
kine-doc-0.10.1-r19.apk
2026-01-19 14:36
5.2K
kirc-0.3.3-r0.apk
2025-02-22 11:09
15K
kirc-doc-0.3.3-r0.apk
2025-02-22 11:09
2.8K
kismet-0.202509.1-r0.apk
2025-10-09 04:08
12M
kismet-linux-bluetooth-0.202509.1-r0.apk
2025-10-09 04:08
50K
kismet-linux-wifi-0.202509.1-r0.apk
2025-10-09 04:08
69K
kismet-logtools-0.202509.1-r0.apk
2025-10-09 04:08
1.2M
kismet-nrf-51822-0.202509.1-r0.apk
2025-10-09 04:08
48K
kismet-nxp-kw41z-0.202509.1-r0.apk
2025-10-09 04:08
49K
kjv-0_git20221103-r0.apk
2024-10-25 20:11
1.5M
klevernotes-1.1.0-r0.apk
2024-10-25 20:11
2.6M
klevernotes-lang-1.1.0-r0.apk
2024-10-25 20:11
142K
klong-20221212-r0.apk
2025-06-07 15:16
325K
kmscon-9.2.1-r0.apk
2026-01-08 23:23
862K
kmscon-doc-9.2.1-r0.apk
2026-01-08 23:23
11K
kmscon-systemd-9.2.1-r0.apk
2026-01-08 23:23
2.7K
knative-client-1.19.6-r2.apk
2026-01-19 14:36
25M
knative-client-bash-completion-1.19.6-r2.apk
2026-01-19 14:36
10K
knative-client-fish-completion-1.19.6-r2.apk
2026-01-19 14:36
4.3K
knative-client-zsh-completion-1.19.6-r2.apk
2026-01-19 14:36
4.0K
knxd-0.14.61-r1.apk
2024-12-14 19:46
408K
knxd-dev-0.14.61-r1.apk
2024-12-14 19:46
24K
ko-0.17.1-r11.apk
2026-01-19 14:36
11M
ko-bash-completion-0.17.1-r11.apk
2026-01-19 14:36
5.0K
ko-fish-completion-0.17.1-r11.apk
2026-01-19 14:36
4.3K
ko-zsh-completion-0.17.1-r11.apk
2026-01-19 14:36
4.0K
kodaskanna-0.2.2-r0.apk
2025-01-20 08:16
55K
kodaskanna-lang-0.2.2-r0.apk
2025-01-20 08:16
23K
kodi-audioencoder-flac-20.2.0-r1.apk
2026-01-09 15:53
41K
Kodi
kodi-audioencoder-lame-20.3.0-r1.apk
2026-01-09 15:53
90K
Kodi
kodi-audioencoder-vorbis-20.2.0-r1.apk
2026-01-09 15:53
33K
Kodi
kodi-audioencoder-wav-20.2.0-r1.apk
2026-01-09 15:53
25K
Kodi
kodi-game-libretro-20.1.0-r0.apk
2026-01-09 15:53
120K
Kodi
kodi-game-libretro-atari800-3.1.0.28-r0.apk
2026-01-09 15:53
238K
Kodi
kodi-game-libretro-beetle-bsnes-0.9.26.27-r0.apk
2026-01-09 15:53
13K
Kodi
kodi-game-libretro-beetle-lynx-1.24.0.50-r0.apk
2026-01-09 15:53
12K
Kodi
kodi-game-libretro-beetle-ngp-1.29.0.35-r0.apk
2026-01-09 15:53
14K
Kodi
kodi-game-libretro-beetle-pce-fast-1.31.0.43-r0.apk
2026-01-09 15:53
19K
Kodi
kodi-game-libretro-beetle-pcfx-0.9.36.36-r0.apk
2026-01-09 15:53
51K
Kodi
kodi-game-libretro-beetle-psx-0.9.44.53-r0.apk
2026-01-09 15:53
62K
Kodi
kodi-game-libretro-beetle-saturn-1.29.0.40-r0.apk
2026-01-09 15:53
17K
Kodi
kodi-game-libretro-beetle-supergrafx-1.29.0.39-r0.apk
2026-01-09 15:53
17K
Kodi
kodi-game-libretro-beetle-vb-1.31.0.34-r0.apk
2026-01-09 15:53
14K
Kodi
kodi-game-libretro-beetle-wswan-0.9.35.41-r0.apk
2026-01-09 15:53
15K
Kodi
kodi-game-libretro-desmume-0.0.1.28-r0.apk
2026-01-09 15:53
61K
Kodi
kodi-game-libretro-dosbox-0.74.0.25-r0.apk
2026-01-09 15:53
71K
Kodi
kodi-game-libretro-fbneo-1.0.0.71-r0.apk
2026-01-09 15:53
177K
Kodi
kodi-game-libretro-frodo-0.0.1.25-r0.apk
2026-01-09 15:53
158K
Kodi
kodi-game-libretro-mame2000-0.37.0.32-r0.apk
2026-01-09 15:53
324K
Kodi
kodi-game-libretro-mame2003-0.78.0.54-r0.apk
2026-01-09 15:53
1.3M
Kodi
kodi-game-libretro-mgba-0.11.0.44-r0.apk
2026-01-09 15:53
17K
Kodi
kodi-game-libretro-nestopia-1.52.0.41-r0.apk
2026-01-09 15:53
20K
Kodi
kodi-game-libretro-snes9x-1.62.3.45-r0.apk
2026-01-09 15:53
23K
Kodi
kodi-game-libretro-theodore-0.0.1.32-r0.apk
2026-01-09 15:53
14K
Kodi
kodi-inputstream-adaptive-21.5.9-r0.apk
2026-01-09 15:53
1.1M
Kodi
kodi-inputstream-ffmpegdirect-21.3.7-r0.apk
2026-01-09 15:53
366K
Kodi
kodi-inputstream-rtmp-21.1.2-r0.apk
2026-01-09 15:53
89K
Kodi
kodi-peripheral-joystick-20.1.9-r0.apk
2026-01-09 15:53
223K
Kodi
kodi-pvr-hts-21.2.6-r0.apk
2026-01-09 15:53
318K
Kodi
kodi-pvr-iptvsimple-21.10.2-r0.apk
2026-01-09 15:53
943K
Kodi
kodi-vfs-libarchive-21.0.2-r0.apk
2026-01-09 15:53
116K
Kodi
kodi-vfs-rar-20.1.0-r1.apk
2026-01-09 15:53
413K
Kodi
kodi-vfs-sacd-20.1.0-r1.apk
2026-01-09 15:53
97K
Kodi
kodi-vfs-sftp-20.2.0-r1.apk
2026-01-09 15:53
54K
Kodi
komikku-1.85.0-r0.apk
2025-08-22 08:07
444K
komikku-lang-1.85.0-r0.apk
2025-08-22 08:07
284K
komikku-pyc-1.85.0-r0.apk
2025-08-22 08:07
798K
kompose-1.31.2-r16.apk
2026-01-19 14:36
7.7M
kompose-bash-completion-1.31.2-r16.apk
2026-01-19 14:36
5.6K
kompose-fish-completion-1.31.2-r16.apk
2026-01-19 14:36
4.4K
kompose-zsh-completion-1.31.2-r16.apk
2026-01-19 14:36
6.8K
kondo-0.8-r0.apk
2024-10-25 20:11
686K
kondo-bash-completion-0.8-r0.apk
2024-10-25 20:11
2.1K
kondo-fish-completion-0.8-r0.apk
2024-10-25 20:11
2.1K
kondo-zsh-completion-0.8-r0.apk
2024-10-25 20:11
2.5K
kontainer-1.0.1-r0.apk
2025-06-17 03:03
199K
kopia-0.22.3-r1.apk
2026-01-19 14:36
17M
kopia-bash-completion-0.22.3-r1.apk
2026-01-19 14:36
1.8K
kopia-zsh-completion-0.22.3-r1.apk
2026-01-19 14:36
1.8K
krita-plugin-gmic-3.2.4.1-r3.apk
2024-10-25 20:11
2.8M
kronosnet-1.32-r0.apk
2025-12-27 21:42
73K
kronosnet-dev-1.32-r0.apk
2025-12-27 21:42
2.5M
kronosnet-doc-1.32-r0.apk
2025-12-27 21:42
108K
ktx-4.3.2-r1.apk
2025-06-10 02:52
1.3M
ktx-dev-4.3.2-r1.apk
2025-06-10 02:52
29K
ktx-libs-4.3.2-r1.apk
2025-06-10 02:52
1.4M
kube-no-trouble-0.7.3-r11.apk
2026-01-19 14:36
15M
kubeconform-0.7.0-r4.apk
2026-01-19 14:36
3.9M
kubectl-krew-0.4.5-r8.apk
2026-01-19 14:36
4.9M
kubectl-oidc_login-1.34.2-r2.apk
2026-01-19 14:36
5.9M
kubepug-1.7.1-r16.apk
2026-01-19 14:36
17M
kubepug-bash-completion-1.7.1-r16.apk
2026-01-19 14:36
5.1K
kubepug-fish-completion-1.7.1-r16.apk
2026-01-19 14:36
4.3K
kubepug-zsh-completion-1.7.1-r16.apk
2026-01-19 14:36
4.0K
kubeseal-0.34.0-r0.apk
2026-01-19 14:36
12M
kubeseal-doc-0.34.0-r0.apk
2026-01-19 14:36
5.5K
kubesplit-0.3.3-r1.apk
2024-10-25 20:11
13K
kubesplit-pyc-0.3.3-r1.apk
2024-10-25 20:11
13K
kubetail-0.11.0-r0.apk
2026-01-22 01:24
34M
lavacli-2.4-r0.apk
2025-06-15 04:25
49K
lavacli-doc-2.4-r0.apk
2025-06-15 04:25
34K
lavacli-pyc-2.4-r0.apk
2025-06-15 04:25
88K
laze-0.1.38-r0.apk
2025-07-20 20:22
1.1M
laze-bash-completion-0.1.38-r0.apk
2025-07-20 20:22
3.1K
laze-doc-0.1.38-r0.apk
2025-07-20 20:22
3.6K
laze-fish-completion-0.1.38-r0.apk
2025-07-20 20:22
3.4K
laze-zsh-completion-0.1.38-r0.apk
2025-07-20 20:22
3.7K
lbb-0.10.4-r2.apk
2026-01-19 14:36
3.4M
lbb-doc-0.10.4-r2.apk
2026-01-19 14:36
20K
lcalc-2.1.0-r0.apk
2025-05-03 08:30
227K
lcalc-dev-2.1.0-r0.apk
2025-05-03 08:30
51K
lcalc-doc-2.1.0-r0.apk
2025-05-03 08:30
437K
lcalc-libs-2.1.0-r0.apk
2025-05-03 08:30
232K
ldapdomaindump-0.10.0-r0.apk
2025-07-30 19:18
18K
ldapdomaindump-pyc-0.10.0-r0.apk
2025-07-30 19:18
30K
ledmon-1.0.0-r0.apk
2024-10-25 20:11
81K
ledmon-doc-1.0.0-r0.apk
2024-10-25 20:11
14K
lefthook-2.0.4-r2.apk
2026-01-19 14:36
6.1M
lefthook-doc-2.0.4-r2.apk
2026-01-19 14:36
2.2K
legume-1.4.2-r15.apk
2026-01-19 14:36
1.6M
legume-doc-1.4.2-r15.apk
2026-01-19 14:36
12K
leptosfmt-0.1.33-r0.apk
2025-03-25 15:46
1.0M
leptosfmt-doc-0.1.33-r0.apk
2025-03-25 15:46
6.0K
levmar-dev-2.6-r0.apk
2024-10-25 20:11
48K
lfm-3.1-r4.apk
2024-10-25 20:11
88K
lfm-doc-3.1-r4.apk
2024-10-25 20:11
2.8K
lfm-pyc-3.1-r4.apk
2024-10-25 20:11
134K
lgogdownloader-3.18-r0.apk
2025-12-31 21:56
387K
lgogdownloader-doc-3.18-r0.apk
2025-12-31 21:56
8.5K
libabigail-2.8-r0.apk
2025-11-02 19:54
1.1M
libabigail-bash-completion-2.8-r0.apk
2025-11-02 19:54
2.8K
libabigail-dev-2.8-r0.apk
2025-11-02 19:54
1.5M
libabigail-doc-2.8-r0.apk
2025-11-02 19:54
77K
libabigail-tools-2.8-r0.apk
2025-11-02 19:54
132K
libandroidfw-0_git20251009-r1.apk
2026-01-19 14:36
441K
libandroidfw-dev-0_git20251009-r1.apk
2026-01-19 14:36
7.1K
libantlr3c-3.4-r3.apk
2024-10-25 20:11
55K
libantlr3c-dev-3.4-r3.apk
2024-10-25 20:11
58K
libantlr4-4.13.2-r0.apk
2024-12-12 11:20
496K
libantlr4-dev-4.13.2-r0.apk
2024-12-12 11:20
951K
libaudec-0.3.4-r3.apk
2024-10-25 20:11
30K
libaudec-dev-0.3.4-r3.apk
2024-10-25 20:11
4.3K
libaudec-static-0.3.4-r3.apk
2024-10-25 20:11
33K
libaudec-tools-0.3.4-r3.apk
2024-10-25 20:11
30K
libb64-2.0.0.1-r0.apk
2024-10-25 20:11
4.7K
libb64-dev-2.0.0.1-r0.apk
2024-10-25 20:11
6.2K
libb64-doc-2.0.0.1-r0.apk
2024-10-25 20:11
8.0K
libbamf-0.5.6-r1.apk
2024-10-25 20:11
151K
libbamf-dev-0.5.6-r1.apk
2024-10-25 20:11
6.4K
libbamf-doc-0.5.6-r1.apk
2024-10-25 20:11
31K
libbsoncxx-3.8.0-r0.apk
2024-10-25 20:11
48K
libbsoncxx-dev-3.8.0-r0.apk
2024-10-25 20:11
39K
libcli-1.10.7-r0.apk
2024-10-25 20:11
32K
libcob4-3.2-r0.apk
2025-07-28 14:02
204K
libcork-0.15.0-r7.apk
2024-10-25 20:11
36K
libcork-dev-0.15.0-r7.apk
2024-10-25 20:11
30K
libcork-tools-0.15.0-r7.apk
2024-10-25 20:11
4.6K
libcorkipset-1.1.1-r4.apk
2024-10-25 20:11
14K
libcorkipset-dev-1.1.1-r4.apk
2024-10-25 20:11
8.1K
libcorkipset-tools-1.1.1-r4.apk
2024-10-25 20:11
11K
libcotp-3.1.1-r0.apk
2025-10-03 22:25
9.1K
libcotp-dev-3.1.1-r0.apk
2025-10-03 22:25
2.5K
libctl-4.5.1-r1.apk
2024-10-25 20:11
93K
libctl-dev-4.5.1-r1.apk
2024-10-25 20:11
39K
libctl-doc-4.5.1-r1.apk
2024-10-25 20:11
3.0K
libcyaml-1.4.2-r0.apk
2024-10-25 20:11
23K
libcyaml-dev-1.4.2-r0.apk
2024-10-25 20:11
13K
libcyaml-doc-1.4.2-r0.apk
2024-10-25 20:11
8.6K
libcyaml-static-1.4.2-r0.apk
2024-10-25 20:11
27K
libdbusaccess-1.0.20-r1.apk
2025-08-09 02:08
19K
libdbusaccess-dev-1.0.20-r1.apk
2025-08-09 02:08
5.0K
libdcmtk-3.7.0-r0.apk
2025-12-28 20:54
6.9M
libdng-0.2.1-r0.apk
2024-12-27 22:10
12K
libdng-dev-0.2.1-r0.apk
2024-12-27 22:10
3.2K
libdng-doc-0.2.1-r0.apk
2024-12-27 22:10
4.2K
libdng-utils-0.2.1-r0.apk
2024-12-27 22:10
6.2K
libeantic-2.1.0-r2.apk
2025-11-26 08:26
87K
libeantic-dev-2.1.0-r2.apk
2025-11-26 08:26
18K
libecap-1.0.1-r1.apk
2024-10-25 20:11
14K
libecap-dev-1.0.1-r1.apk
2024-10-25 20:11
11K
libecap-static-1.0.1-r1.apk
2024-10-25 20:11
17K
libemf2svg-1.1.0-r3.apk
2025-10-06 10:56
160K
libemf2svg-utils-1.1.0-r3.apk
2025-10-06 10:56
18K
liberasurecode-1.6.3-r1.apk
2024-10-25 20:11
40K
liberasurecode-dev-1.6.3-r1.apk
2024-10-25 20:11
18K
libetebase-0.5.8-r0.apk
2025-09-27 19:40
873K
libetebase-dev-0.5.8-r0.apk
2025-09-27 19:40
11K
libettercap-0.8.3.1-r3.apk
2024-10-25 20:11
200K
libfishsound-1.0.0-r1.apk
2024-10-25 20:11
10K
libfishsound-dev-1.0.0-r1.apk
2024-10-25 20:11
57K
libfishsound-doc-1.0.0-r1.apk
2024-10-25 20:11
75K
libfoma-0.10.0_git20240712-r0.apk
2024-10-25 20:11
105K
libfort-0.4.2-r0.apk
2024-10-25 20:11
31K
libfort-dev-0.4.2-r0.apk
2024-10-25 20:11
17K
libgdcm-3.2.2-r3.apk
2025-12-02 05:43
2.7M
libgivaro-4.2.0-r2.apk
2024-10-25 20:11
83K
libgivaro-dev-4.2.0-r2.apk
2024-10-25 20:11
244K
libgivaro-static-4.2.0-r2.apk
2024-10-25 20:11
97K
libglib-testing-0.1.1-r0.apk
2025-05-08 12:15
13K
libglib-testing-dev-0.1.1-r0.apk
2025-05-08 12:15
5.5K
libglib-testing-doc-0.1.1-r0.apk
2025-05-08 12:15
25K
libgrapheme-2.0.2-r0.apk
2025-07-22 20:52
24K
libgrapheme-dev-2.0.2-r0.apk
2025-07-22 20:52
32K
libgrapheme-doc-2.0.2-r0.apk
2025-07-22 20:52
21K
libguestfs-1.56.1-r0.apk
2025-07-22 22:05
320K
libguestfs-dev-1.56.1-r0.apk
2025-07-22 22:05
29K
libguestfs-doc-1.56.1-r0.apk
2025-07-22 22:05
569K
libguestfs-static-1.56.1-r0.apk
2025-07-22 22:05
449K
libhomfly-1.02_p6-r1.apk
2024-10-25 20:11
16K
libhomfly-dev-1.02_p6-r1.apk
2024-10-25 20:11
20K
libhwpwm-0.4.4-r0.apk
2024-10-25 20:11
6.1K
libhwpwm-dev-0.4.4-r0.apk
2024-10-25 20:11
5.9K
libhwpwm-doc-0.4.4-r0.apk
2024-10-25 20:11
13K
libibumad-1.3.10.2-r3.apk
2024-10-25 20:11
15K
libibumad-dev-1.3.10.2-r3.apk
2024-10-25 20:11
7.6K
libibumad-doc-1.3.10.2-r3.apk
2024-10-25 20:11
23K
libideviceactivation-1.1.1-r5.apk
2024-10-30 22:44
18K
libideviceactivation-dev-1.1.1-r5.apk
2024-10-30 22:44
3.3K
libideviceactivation-doc-1.1.1-r5.apk
2024-10-30 22:44
2.2K
libigraph-1.0.1-r0.apk
2025-12-31 18:35
2.0M
libigraph-dev-1.0.1-r0.apk
2025-12-31 18:35
90K
libiio-0.25-r2.apk
2024-10-25 20:11
53K
libiio-dev-0.25-r2.apk
2024-10-25 20:11
13K
libiio-doc-0.25-r2.apk
2024-10-25 20:11
18K
libiio-pyc-0.25-r2.apk
2024-10-25 20:11
21K
libiio-tools-0.25-r2.apk
2024-10-25 20:11
74K
libinfnoise-0.3.3-r0.apk
2025-05-26 08:58
15K
libirecovery-1.3.0-r0.apk
2025-10-06 17:35
25K
libirecovery-dev-1.3.0-r0.apk
2025-10-06 17:35
4.2K
libirecovery-progs-1.3.0-r0.apk
2025-10-06 17:35
8.5K
libiscsi-1.19.0-r2.apk
2024-10-25 20:11
60K
libiscsi-dev-1.19.0-r2.apk
2024-10-25 20:11
20K
libiscsi-doc-1.19.0-r2.apk
2024-10-25 20:11
9.3K
libiscsi-static-1.19.0-r2.apk
2024-10-25 20:11
71K
libiscsi-utils-1.19.0-r2.apk
2024-10-25 20:11
88K
libjodycode-3.1.1-r0.apk
2024-10-25 20:11
7.8K
libjodycode-dev-3.1.1-r0.apk
2024-10-25 20:11
4.2K
libjodycode-doc-3.1.1-r0.apk
2024-10-25 20:11
3.7K
liblastfm-qt-1.1.10_git20190823-r3.apk
2024-10-25 20:11
176K
liblastfm-qt-dev-1.1.10_git20190823-r3.apk
2024-10-25 20:11
20K
libmdbx-0.11.8-r0.apk
2024-10-25 20:11
899K
libmdbx-dbg-0.11.8-r0.apk
2024-10-25 20:11
2.6M
libmdbx-dev-0.11.8-r0.apk
2024-10-25 20:11
93K
libmdbx-doc-0.11.8-r0.apk
2024-10-25 20:11
8.9K
libmdf-1.0.29-r0.apk
2024-10-25 20:11
38K
libmdf-dev-1.0.29-r0.apk
2024-10-25 20:11
14K
libmhash-0.9.9.9-r3.apk
2024-10-25 20:11
98K
libmhash-dev-0.9.9.9-r3.apk
2024-10-25 20:11
110K
libmhash-doc-0.9.9.9-r3.apk
2024-10-25 20:11
8.2K
libmpfi-1.5.4-r2.apk
2024-10-25 20:11
40K
libmpfi-dev-1.5.4-r2.apk
2024-10-25 20:11
5.4K
libmpfi-doc-1.5.4-r2.apk
2024-10-25 20:11
19K
libmpfi-static-1.5.4-r2.apk
2024-10-25 20:11
55K
libmrss-0.19.2-r1.apk
2024-10-25 20:11
22K
libmrss-dev-0.19.2-r1.apk
2024-10-25 20:11
33K
libmustache-0.5.0-r1.apk
2024-10-25 20:11
89K
libmygpo-qt-1.1.0-r2.apk
2024-10-25 20:11
92K
libmygpo-qt-dev-1.1.0-r2.apk
2024-10-25 20:11
12K
libmysofa-1.3.2-r0.apk
2024-10-25 20:11
28K
libmysofa-dev-1.3.2-r0.apk
2024-10-25 20:11
7.0K
libmysofa-tools-1.3.2-r0.apk
2024-10-25 20:11
1.1M
libnest2d-0.4-r7.apk
2025-02-06 04:49
1.2K
libnest2d-dev-0.4-r7.apk
2025-02-06 04:49
70K
libnfcdef-1.1.0-r0.apk
2026-01-02 17:16
14K
libnfcdef-dev-1.1.0-r0.apk
2026-01-02 17:16
6.0K
libnih-1.0.3-r7.apk
2024-10-25 20:11
108K
libnih-dev-1.0.3-r7.apk
2024-10-25 20:11
110K
libnih-doc-1.0.3-r7.apk
2024-10-25 20:11
2.7K
libnxml-0.18.3-r0.apk
2024-10-25 20:11
22K
libnxml-dev-0.18.3-r0.apk
2024-10-25 20:11
29K
libofx-0.10.9-r1.apk
2024-10-25 20:11
68K
libofx-dev-0.10.9-r1.apk
2024-10-25 20:11
20K
libofx-tools-0.10.9-r1.apk
2024-10-25 20:11
106K
liboggz-1.1.1-r2.apk
2024-10-25 20:11
101K
liboggz-dev-1.1.1-r2.apk
2024-10-25 20:11
162K
liboggz-doc-1.1.1-r2.apk
2024-10-25 20:11
134K
libopensles-standalone-0_git20250913-r0.apk
2025-09-22 13:31
37K
libopensles-standalone-dbg-0_git20250913-r0.apk
2025-09-22 13:31
228K
libopensles-standalone-dev-0_git20250913-r0.apk
2025-09-22 13:31
1.6K
libqofono-0.124-r0.apk
2025-01-10 12:38
1.2K
libqofono-dev-0.124-r0.apk
2025-01-10 12:38
42K
libqofono-qt5-0.124-r0.apk
2025-01-10 12:38
302K
libqofono-qt6-0.124-r0.apk
2025-01-10 12:38
446K
libqtdbusmock-0.9.1-r2.apk
2025-02-17 09:34
74K
libqtdbustest-0.4.0-r0.apk
2025-09-06 20:30
33K
libre-4.4.0-r0.apk
2026-01-24 12:59
296K
libre-dev-4.4.0-r0.apk
2026-01-24 12:59
464K
libreoffice-voikko-5.0_git20200127-r0.apk
2024-10-25 20:11
45K
librespot-0.8.0-r0.apk
2025-11-19 18:24
2.3M
librespot-openrc-0.8.0-r0.apk
2025-11-19 18:24
1.9K
libresprite-1.2-r0.apk
2025-04-13 22:16
15M
libresprite-doc-1.2-r0.apk
2025-04-13 22:16
15K
libretro-atari800-0_git20240924-r0.apk
2024-10-25 20:11
286K
libretro-beetle-pce-fast-0_git20220205-r0.apk
2024-10-25 20:11
363K
libretro-beetle-pcfx-0_git20220409-r0.apk
2024-10-25 20:11
326K
libretro-beetle-saturn-0_git20220417-r0.apk
2024-10-25 20:11
1.6M
libretro-beetle-supergrafx-0_git20220218-r0.apk
2024-10-25 20:11
376K
libretro-bluemsx-0_git20240808-r0.apk
2024-10-25 20:11
685K
libretro-cannonball-0_git20220309-r6.apk
2024-10-25 20:11
248K
libretro-cap32-0_git20220419-r0.apk
2024-10-25 20:11
303K
libretro-crocods-0_git20210314-r1.apk
2024-10-25 20:11
281K
libretro-daphne-0_git20210108-r2.apk
2024-10-25 20:11
617K
libretro-dinothawr-0_git20220401-r0.apk
2024-10-25 20:11
145K
libretro-fbneo-0_git20220416-r0.apk
2024-10-25 20:11
10M
libretro-freeintv-0_git20220319-r0.apk
2024-10-25 20:11
37K
libretro-frodo-0_git20221221-r0.apk
2024-10-25 20:11
172K
libretro-fuse-0_git20220417-r0.apk
2024-10-25 20:11
903K
libretro-genesis-plus-gx-0_git20230503-r0.apk
2024-10-25 20:11
717K
libretro-gme-0_git20240628-r0.apk
2024-10-25 20:11
198K
libretro-gong-0_git20220319-r0.apk
2024-10-25 20:11
8.0K
libretro-gw-0_git20220410-r0.apk
2024-10-25 20:11
193K
libretro-mame2000-0_git20240701-r0.apk
2024-10-25 20:11
2.8M
libretro-mame2003-0_git20240904-r0.apk
2024-10-25 20:11
6.8M
libretro-mu-0_git20220317-r0.apk
2024-10-25 20:11
189K
libretro-neocd-0_git20220325-r1.apk
2024-10-25 20:11
520K
libretro-nxengine-0_git20220301-r0.apk
2024-10-25 20:11
308K
libretro-openlara-0_git20210121-r0.apk
2024-10-25 20:11
501K
libretro-opera-0_git20211214-r0.apk
2024-10-25 20:11
191K
libretro-parallel-n64-0_git20220406-r0.apk
2024-10-25 20:11
827K
libretro-pcsx-rearmed-0_git20220409-r0.apk
2024-10-25 20:11
546K
libretro-picodrive-0_git20220405-r0.apk
2024-10-25 20:11
500K
libretro-pocketcdg-0_git20220327-r0.apk
2024-10-25 20:11
89K
libretro-ppsspp-0_git20210516-r15.apk
2025-04-11 18:13
2.3M
libretro-scummvm-0_git20210325-r0.apk
2024-10-25 20:11
21M
libretro-snes9x-0_git20240819-r0.apk
2024-10-25 20:11
613K
libretro-theodore-3.1-r0.apk
2024-10-25 20:11
869K
libretro-tyrquake-0_git20220409-r0.apk
2024-10-25 20:11
409K
libretro-xrick-0_git20220331-r0.apk
2024-10-25 20:11
124K
libretro-yabause-0_git20210411-r0.apk
2024-10-25 20:11
506K
libsbsms-2.3.0-r0.apk
2024-10-25 20:11
106K
libsbsms-dev-2.3.0-r0.apk
2024-10-25 20:11
123K
libsds-2.0.0-r1.apk
2024-10-25 20:11
10K
libsds-dev-2.0.0-r1.apk
2024-10-25 20:11
3.8K
libsemanage-3.6-r1.apk
2024-10-25 20:11
96K
libsemanage-dev-3.6-r1.apk
2024-10-25 20:11
141K
libsemanage-doc-3.6-r1.apk
2024-10-25 20:11
23K
libsemigroups-2.7.3-r1.apk
2024-12-14 19:46
757K
libsemigroups-dev-2.7.3-r1.apk
2024-12-14 19:46
335K
libsemigroups-static-2.7.3-r1.apk
2024-12-14 19:46
1.6M
libserialport-0.1.1-r1.apk
2024-10-25 20:11
22K
libserialport-dev-0.1.1-r1.apk
2024-10-25 20:11
37K
libsigrok-0.5.2-r3.apk
2024-10-25 20:11
506K
libsigrok-dev-0.5.2-r3.apk
2024-10-25 20:11
31K
libsigrokdecode-0.5.3-r4.apk
2024-10-25 20:11
337K
libsigrokdecode-dev-0.5.3-r4.apk
2024-10-25 20:11
38K
libsimpleble-0.10.4-r0.apk
2026-01-13 03:12
413K
libsimpleble-c-0.10.4-r0.apk
2026-01-13 03:12
16K
libsimplebluez-0.10.4-r0.apk
2026-01-13 03:12
191K
libsirocco-2.1.1-r0.apk
2025-08-31 17:16
74K
libsirocco-dev-2.1.1-r0.apk
2025-08-31 17:16
2.2K
libstirshaken-0_git20240208-r4.apk
2025-04-28 19:56
53K
libstirshaken-dev-0_git20240208-r4.apk
2025-04-28 19:56
77K
libstirshaken-tools-0_git20240208-r4.apk
2025-04-28 19:56
159K
libtins-4.5-r2.apk
2025-10-15 08:22
346K
libtins-dev-4.5-r2.apk
2025-10-15 08:22
138K
libtins-doc-4.5-r2.apk
2025-10-15 08:22
2.3K
libtsm-4.3.0-r0.apk
2026-01-08 23:23
26K
libtsm-dev-4.3.0-r0.apk
2026-01-08 23:23
8.1K
libucl-0.9.0-r0.apk
2024-10-25 20:11
56K
libucl-dev-0.9.0-r0.apk
2024-10-25 20:11
82K
libucl-doc-0.9.0-r0.apk
2024-10-25 20:11
8.9K
libuecc-7-r4.apk
2025-03-03 16:06
9.9K
libuecc-dev-7-r4.apk
2025-03-03 16:06
4.6K
libuninameslist-20230916-r0.apk
2024-10-25 20:11
368K
libuninameslist-dev-20230916-r0.apk
2024-10-25 20:11
3.5K
libuninameslist-doc-20230916-r0.apk
2024-10-25 20:11
2.0K
libupstart-2.0.3-r5.apk
2024-10-25 20:11
54K
libvalkey-0.2.1-r0.apk
2025-11-17 11:58
66K
libvalkey-dev-0.2.1-r0.apk
2025-11-17 11:58
319K
libvalkey-tls-0.2.1-r0.apk
2025-11-17 11:58
7.1K
libvdpau-va-gl-0.4.2-r0.apk
2024-10-25 20:11
62K
libvisio2svg-0.5.5-r3.apk
2024-10-25 20:11
15K
libvisio2svg-dev-0.5.5-r3.apk
2024-10-25 20:11
2.9K
libvisio2svg-utils-0.5.5-r3.apk
2024-10-25 20:11
122K
libvmaf-3.0.0-r0.apk
2024-10-25 20:11
371K
libvmaf-dev-3.0.0-r0.apk
2024-10-25 20:11
219K
libvoikko-4.3.2-r1.apk
2024-10-25 20:11
129K
libvoikko-dev-4.3.2-r1.apk
2024-10-25 20:11
9.9K
libvoikko-doc-4.3.2-r1.apk
2024-10-25 20:11
5.7K
libwasmtime-39.0.1-r0.apk
2025-12-08 21:06
3.9M
libwasmtime-static-39.0.1-r0.apk
2025-12-08 21:06
6.4M
libwbxml-0.11.8-r0.apk
2024-10-25 20:11
73K
libwbxml-dev-0.11.8-r0.apk
2024-10-25 20:11
9.0K
libwbxml-doc-0.11.8-r0.apk
2024-10-25 20:11
28K
libwhich-1.2.0-r0.apk
2024-10-25 20:11
4.5K
libwmiclient-1.3.16-r5.apk
2024-10-25 20:11
1.5K
libwmiclient-dev-1.3.16-r5.apk
2024-10-25 20:11
1.7K
libxml++-5.4.0-r0.apk
2025-02-13 07:47
70K
libxml++-dev-5.4.0-r0.apk
2025-02-13 07:47
29K
libxo-1.7.5-r0.apk
2025-01-12 22:45
179K
libxo-dev-1.7.5-r0.apk
2025-01-12 22:45
76K
libxo-doc-1.7.5-r0.apk
2025-01-12 22:45
63K
libzrtpcpp-4.7.0-r0.apk
2025-01-04 21:55
167K
libzrtpcpp-dev-4.7.0-r0.apk
2025-01-04 21:55
38K
libzvbi-0.2.44-r0.apk
2025-03-11 20:28
217K
libzvbi-dev-0.2.44-r0.apk
2025-03-11 20:28
14K
libzvbi-static-0.2.44-r0.apk
2025-03-11 20:28
274K
licenseheaders-0.8.8-r4.apk
2024-10-25 20:11
18K
licenseheaders-pyc-0.8.8-r4.apk
2024-10-25 20:11
18K
limnoria-20240828-r0.apk
2024-10-25 20:11
1.1M
limnoria-doc-20240828-r0.apk
2024-10-25 20:11
8.4K
limnoria-pyc-20240828-r0.apk
2024-10-25 20:11
1.2M
linkchecker-10.6.0-r0.apk
2025-08-10 11:38
181K
linkchecker-doc-10.6.0-r0.apk
2025-08-10 11:38
39K
linkchecker-pyc-10.6.0-r0.apk
2025-08-10 11:38
254K
linkquisition-1.6.1-r11.apk
2026-01-19 14:36
13M
linphone-5.3.38-r0.apk
2024-10-25 20:11
9.0M
linphone-dev-5.3.38-r0.apk
2024-10-25 20:11
250K
linphone-libs-5.3.38-r0.apk
2024-10-25 20:11
3.2M
linux-apfs-rw-src-0.3.8-r0.apk
2024-10-25 20:11
197K
linux-gpib-4.3.7-r0.apk
2025-12-06 23:07
60K
linux-gpib-dev-4.3.7-r0.apk
2025-12-06 23:07
7.7K
linux-gpib-doc-4.3.7-r0.apk
2025-12-06 23:07
326K
linux-gpib-udev-4.3.7-r0.apk
2025-12-06 23:07
2.6K
linux-timemachine-1.3.2-r0.apk
2024-10-25 20:11
5.1K
linuxptp-4.4-r0.apk
2024-11-18 18:00
1.2K
linuxptp-doc-4.4-r0.apk
2024-11-18 18:00
38K
linuxptp-hwstamp_ctl-4.4-r0.apk
2024-11-18 18:00
4.5K
linuxptp-nsm-4.4-r0.apk
2024-11-18 18:00
32K
linuxptp-phc2sys-4.4-r0.apk
2024-11-18 18:00
39K
linuxptp-phc_ctl-4.4-r0.apk
2024-11-18 18:00
11K
linuxptp-pmc-4.4-r0.apk
2024-11-18 18:00
35K
linuxptp-ptp4l-4.4-r0.apk
2024-11-18 18:00
83K
linuxptp-timemaster-4.4-r0.apk
2024-11-18 18:00
16K
linuxptp-ts2phc-4.4-r0.apk
2024-11-18 18:00
38K
linuxptp-tz2alt-4.4-r0.apk
2024-11-18 18:00
20K
liquibase-4.9.1-r0.apk
2024-10-25 20:11
32M
liquibase-doc-4.9.1-r0.apk
2024-10-25 20:11
57K
litehtml-0.9-r2.apk
2025-02-17 09:34
338K
litehtml-dev-0.9-r2.apk
2025-02-17 09:34
42K
litehtml-static-0.9-r2.apk
2025-02-17 09:34
511K
litterbox-1.9-r2.apk
2025-09-12 22:22
32K
litterbox-doc-1.9-r2.apk
2025-09-12 22:22
7.2K
lizardfs-3.13.0-r17.apk
2025-06-19 08:56
116K
lizardfs-bash-completion-3.13.0-r17.apk
2025-06-19 08:56
1.9K
lizardfs-cgi-3.13.0-r17.apk
2025-06-19 08:56
31K
lizardfs-cgiserv-3.13.0-r17.apk
2025-06-19 08:56
7.4K
lizardfs-cgiserv-openrc-3.13.0-r17.apk
2025-06-19 08:56
2.0K
lizardfs-chunkserver-3.13.0-r17.apk
2025-06-19 08:56
323K
lizardfs-chunkserver-openrc-3.13.0-r17.apk
2025-06-19 08:56
1.7K
lizardfs-client-3.13.0-r17.apk
2025-06-19 08:56
1.1M
lizardfs-doc-3.13.0-r17.apk
2025-06-19 08:56
11K
lizardfs-master-3.13.0-r17.apk
2025-06-19 08:56
853K
lizardfs-master-openrc-3.13.0-r17.apk
2025-06-19 08:56
1.6K
lizardfs-metalogger-3.13.0-r17.apk
2025-06-19 08:56
136K
lizardfs-metalogger-openrc-3.13.0-r17.apk
2025-06-19 08:56
1.6K
lkrg-0.9.6-r1.apk
2025-01-09 11:44
105K
lkrg-doc-0.9.6-r1.apk
2025-01-09 11:44
22K
llmnrd-0.7-r1.apk
2024-10-25 20:11
17K
llmnrd-doc-0.7-r1.apk
2024-10-25 20:11
3.0K
llmnrd-openrc-0.7-r1.apk
2024-10-25 20:11
1.9K
lockrun-1.1.3-r1.apk
2024-10-25 20:11
5.3K
log4cpp-1.1.4-r1.apk
2024-10-25 20:11
76K
log4cpp-dev-1.1.4-r1.apk
2024-10-25 20:11
39K
log4cxx-1.5.0-r0.apk
2026-01-06 07:26
563K
log4cxx-dev-1.5.0-r0.apk
2026-01-06 07:26
149K
logc-0.5.0-r1.apk
2025-06-13 14:22
8.1K
logc-argp-0.5.0-r1.apk
2025-06-13 14:22
17K
logc-config-0.5.0-r1.apk
2025-06-13 14:22
5.3K
logc-czmq-0.1.0-r0.apk
2024-10-25 20:11
4.1K
logc-dev-0.5.0-r1.apk
2025-06-13 14:22
8.6K
logc-libevent-0.1.0-r0.apk
2024-10-25 20:11
3.5K
logc-libs-0.1.0-r0.apk
2024-10-25 20:11
1.5K
logc-libs-dev-0.1.0-r0.apk
2024-10-25 20:11
5.7K
logtop-0.7-r1.apk
2025-08-09 02:08
15K
logtop-doc-0.7-r1.apk
2025-08-09 02:08
2.8K
logtop-libs-0.7-r1.apk
2025-08-09 02:08
16K
lol-html-1.1.1-r1.apk
2024-10-25 20:11
444K
lol-html-dev-1.1.1-r1.apk
2024-10-25 20:11
6.5K
lolcat-1.4-r0.apk
2024-10-25 20:11
10K
lomiri-0.5.0-r4.apk
2025-12-18 14:42
4.0M
lomiri-action-api-1.2.1-r0.apk
2025-10-25 20:19
84K
lomiri-action-api-dev-1.2.1-r0.apk
2025-10-25 20:19
5.0K
lomiri-api-0.2.3-r0.apk
2025-10-25 20:19
35K
lomiri-api-dev-0.2.3-r0.apk
2025-10-25 20:19
32K
lomiri-app-launch-0.1.12-r5.apk
2025-12-18 14:42
354K
lomiri-app-launch-dev-0.1.12-r5.apk
2025-12-18 14:42
20K
lomiri-calculator-app-4.1.0-r0.apk
2025-08-19 20:19
382K
lomiri-calculator-app-lang-4.1.0-r0.apk
2025-08-19 20:19
41K
lomiri-clock-app-4.1.1-r0.apk
2025-05-09 17:35
230K
lomiri-clock-app-lang-4.1.1-r0.apk
2025-05-09 17:35
456K
lomiri-content-hub-2.2.2-r0.apk
2026-01-25 21:16
298K
lomiri-content-hub-dev-2.2.2-r0.apk
2026-01-25 21:16
11K
lomiri-content-hub-doc-2.2.2-r0.apk
2026-01-25 21:16
1.4M
lomiri-content-hub-lang-2.2.2-r0.apk
2026-01-25 21:16
47K
lomiri-docviewer-app-3.1.2-r0.apk
2025-08-19 20:19
239K
lomiri-docviewer-app-doc-3.1.2-r0.apk
2025-08-19 20:19
2.0K
lomiri-docviewer-app-lang-3.1.2-r0.apk
2025-08-19 20:19
138K
lomiri-download-manager-0.3.0-r0.apk
2025-12-09 11:12
649K
lomiri-download-manager-dev-0.3.0-r0.apk
2025-12-09 11:12
17K
lomiri-download-manager-doc-0.3.0-r0.apk
2025-12-09 11:12
3.4M
lomiri-download-manager-lang-0.3.0-r0.apk
2025-12-09 11:12
30K
lomiri-filemanager-app-1.1.4-r0.apk
2025-08-19 20:19
357K
lomiri-filemanager-app-lang-1.1.4-r0.apk
2025-08-19 20:19
180K
lomiri-gallery-app-3.0.2-r2.apk
2025-08-19 09:00
3.7M
lomiri-gallery-app-lang-3.0.2-r2.apk
2025-08-19 09:00
106K
lomiri-history-service-0.6-r21.apk
2026-01-19 14:36
388K
lomiri-history-service-dev-0.6-r21.apk
2026-01-19 14:36
11K
lomiri-indicator-location-25.4.22-r1.apk
2025-11-17 13:00
23K
lomiri-indicator-location-lang-25.4.22-r1.apk
2025-11-17 13:00
27K
lomiri-indicator-location-systemd-25.4.22-r1.apk
2025-11-17 13:00
1.8K
lomiri-indicator-network-1.1.2-r0.apk
2025-09-08 01:00
659K
lomiri-indicator-network-dev-1.1.2-r0.apk
2025-09-08 01:00
9.5K
lomiri-indicator-network-doc-1.1.2-r0.apk
2025-09-08 01:00
2.1K
lomiri-indicator-network-lang-1.1.2-r0.apk
2025-09-08 01:00
199K
lomiri-lang-0.5.0-r4.apk
2025-12-18 14:42
269K
lomiri-libusermetrics-1.4.0-r0.apk
2025-12-09 11:12
238K
lomiri-libusermetrics-dev-1.4.0-r0.apk
2025-12-09 11:12
7.8K
lomiri-libusermetrics-doc-1.4.0-r0.apk
2025-12-09 11:12
228K
lomiri-libusermetrics-lang-1.4.0-r0.apk
2025-12-09 11:12
45K
lomiri-location-service-3.3.0-r6.apk
2026-01-19 14:36
2.2M
lomiri-location-service-dev-3.3.0-r6.apk
2026-01-19 14:36
30K
lomiri-location-service-doc-3.3.0-r6.apk
2026-01-19 14:36
2.9K
lomiri-location-service-lang-3.3.0-r6.apk
2026-01-19 14:36
26K
lomiri-location-service-systemd-3.3.0-r6.apk
2026-01-19 14:36
2.1K
lomiri-notifications-1.3.1-r0.apk
2025-01-10 10:15
102K
lomiri-schemas-0.1.10-r0.apk
2026-01-25 21:16
10K
lomiri-settings-components-1.1.3-r0.apk
2025-10-25 20:19
222K
lomiri-settings-components-lang-1.1.3-r0.apk
2025-10-25 20:19
108K
lomiri-sounds-25.01-r0.apk
2025-08-19 20:19
18M
lomiri-system-settings-1.3.2-r1.apk
2026-01-19 14:36
1.1M
lomiri-system-settings-lang-1.3.2-r1.apk
2026-01-19 14:36
846K
lomiri-telephony-service-0.6.2-r2.apk
2026-01-19 14:36
1.1M
lomiri-telephony-service-lang-0.6.2-r2.apk
2026-01-19 14:36
109K
lomiri-terminal-app-2.0.5-r0.apk
2025-05-09 17:35
66K
lomiri-terminal-app-doc-2.0.5-r0.apk
2025-05-09 17:35
2.7K
lomiri-terminal-app-lang-2.0.5-r0.apk
2025-05-09 17:35
91K
lomiri-thumbnailer-3.1.0-r0.apk
2025-12-09 11:12
241K
lomiri-thumbnailer-dev-3.1.0-r0.apk
2025-12-09 11:12
5.1K
lomiri-thumbnailer-doc-3.1.0-r0.apk
2025-12-09 11:12
124K
lomiri-trust-store-2.0.2-r14.apk
2025-12-18 14:42
1.0M
lomiri-trust-store-dev-2.0.2-r14.apk
2025-12-18 14:42
9.1K
lomiri-trust-store-lang-2.0.2-r14.apk
2025-12-18 14:42
28K
lomiri-ui-extras-0.8.0-r0.apk
2025-12-09 11:12
276K
lomiri-ui-extras-lang-0.8.0-r0.apk
2025-12-09 11:12
52K
lomiri-ui-toolkit-1.3.5902-r0.apk
2026-01-26 13:57
1.3M
lomiri-ui-toolkit-dev-1.3.5902-r0.apk
2026-01-26 13:57
170K
lomiri-ui-toolkit-lang-1.3.5902-r0.apk
2026-01-26 13:57
99K
lomiri-url-dispatcher-0.1.4-r0.apk
2025-05-09 17:35
38K
lomiri-url-dispatcher-dev-0.1.4-r0.apk
2025-05-09 17:35
3.3K
lomiri-url-dispatcher-lang-0.1.4-r0.apk
2025-05-09 17:35
26K
lomiri-weather-app-6.0.2-r0.apk
2025-03-11 12:02
304K
lomiri-weather-app-lang-6.0.2-r0.apk
2025-03-11 12:02
214K
lout-3.42.2-r0.apk
2024-10-25 20:11
1.4M
lout-doc-3.42.2-r0.apk
2024-10-25 20:11
453K
lowjs-1.6.2-r2.apk
2024-10-25 20:11
1.3M
lowjs-doc-1.6.2-r2.apk
2024-10-25 20:11
3.0K
lrcalc-2.1-r1.apk
2024-10-25 20:11
11K
lrcalc-dev-2.1-r1.apk
2024-10-25 20:11
11K
lrcalc-libs-2.1-r1.apk
2024-10-25 20:11
25K
lsdvd-0.17-r0.apk
2024-10-25 20:11
13K
lsdvd-doc-0.17-r0.apk
2024-10-25 20:11
2.5K
lshell-0.9.18-r12.apk
2025-09-06 20:30
36K
lshell-doc-0.9.18-r12.apk
2025-09-06 20:30
25K
lshell-pyc-0.9.18-r12.apk
2025-09-06 20:30
35K
lsix-1.8.2-r0.apk
2024-10-25 20:11
6.5K
lspmux-0.3.0-r0.apk
2025-11-05 14:23
1.0M
lspmux-doc-0.3.0-r0.apk
2025-11-05 14:23
6.6K
lua-apkbuild-1.1.0-r0.apk
2026-01-23 00:25
4.5K
lua-cmark-0.31.1-r0.apk
2026-01-20 12:35
1.3K
lua-dkjson-2.8-r0.apk
2026-01-23 00:28
8.6K
lua-editorconfig-0.3.0-r0.apk
2024-10-25 20:11
1.2K
lua-fn-0.1.0-r0.apk
2024-10-25 20:11
3.4K
lua-inet-0.2.0-r1.apk
2024-10-25 20:11
9.1K
lua-lcurses-9.0.0-r0.apk
2024-10-25 20:11
1.2K
lua-libmodbus-0.6.1-r0.apk
2024-10-25 20:11
1.2K
lua-libmodbus-doc-0.6.1-r0.apk
2024-10-25 20:11
19K
lua-linenoise-0.9-r1.apk
2024-10-25 20:11
1.2K
lua-luastatic-0.0.12-r1.apk
2024-10-25 20:11
1.5K
lua-lupa-1.0-r0.apk
2024-10-25 20:11
20K
lua-lut-1.2.1-r0.apk
2024-10-25 20:11
89K
lua-markdown-0.33-r0.apk
2026-01-23 00:17
11K
lua-markdown-doc-0.33-r0.apk
2026-01-23 00:17
2.3K
lua-mqtt-3.4.3-r0.apk
2026-01-23 00:24
26K
lua-pkgbuild-1.0.0-r0.apk
2026-01-23 00:22
3.6K
lua-psl-0.3-r0.apk
2024-10-25 20:11
1.1K
lua-resty-redis-0.29-r0.apk
2024-10-25 20:11
5.3K
lua-resty-upload-0.11-r0.apk
2024-10-25 20:11
3.6K
lua-xml-1.1.3-r2.apk
2024-10-25 20:11
1.4K
lua5.1-cmark-0.31.1-r0.apk
2026-01-20 12:35
98K
lua5.1-lcurses-9.0.0-r0.apk
2024-10-25 20:11
29K
lua5.1-libguestfs-1.56.1-r0.apk
2025-07-22 22:05
90K
lua5.1-libmodbus-0.6.1-r0.apk
2024-10-25 20:11
11K
lua5.1-linenoise-0.9-r1.apk
2024-10-25 20:11
18K
lua5.1-luacov-html-1.0.0-r1.apk
2024-10-25 20:11
413K
lua5.1-luastatic-0.0.12-r1.apk
2024-10-25 20:11
86K
lua5.1-mqtt-3.4.3-r0.apk
2026-01-23 00:24
1.3K
lua5.1-psl-0.3-r0.apk
2024-10-25 20:11
6.4K
lua5.1-ubus-2025.10.17-r0.apk
2025-10-25 12:57
9.5K
lua5.1-xml-1.1.3-r2.apk
2024-10-25 20:11
24K
lua5.2-cmark-0.31.1-r0.apk
2026-01-20 12:35
98K
lua5.2-editorconfig-0.3.0-r0.apk
2024-10-25 20:11
4.7K
lua5.2-libmodbus-0.6.1-r0.apk
2024-10-25 20:11
11K
lua5.2-linenoise-0.9-r1.apk
2024-10-25 20:11
18K
lua5.2-luacov-html-1.0.0-r1.apk
2024-10-25 20:11
413K
lua5.2-luastatic-0.0.12-r1.apk
2024-10-25 20:11
9.1K
lua5.2-psl-0.3-r0.apk
2024-10-25 20:11
6.3K
lua5.2-ubus-2025.10.17-r0.apk
2025-10-25 12:57
9.5K
lua5.2-xml-1.1.3-r2.apk
2024-10-25 20:11
23K
lua5.3-cmark-0.31.1-r0.apk
2026-01-20 12:35
98K
lua5.3-editorconfig-0.3.0-r0.apk
2024-10-25 20:11
4.7K
lua5.3-linenoise-0.9-r1.apk
2024-10-25 20:11
18K
lua5.3-luacov-html-1.0.0-r1.apk
2024-10-25 20:11
413K
lua5.3-luastatic-0.0.12-r1.apk
2024-10-25 20:11
9.1K
lua5.3-psl-0.3-r0.apk
2024-10-25 20:11
6.3K
lua5.4-cmark-0.31.1-r0.apk
2026-01-20 12:35
98K
lua5.4-editorconfig-0.3.0-r0.apk
2024-10-25 20:11
4.7K
lua5.4-linenoise-0.9-r1.apk
2024-10-25 20:11
18K
lua5.4-luastatic-0.0.12-r1.apk
2024-10-25 20:11
9.2K
luacov-html-1.0.0-r1.apk
2024-10-25 20:11
1.2K
luapak-0.1.0_beta5-r0.apk
2024-10-25 20:11
35K
luksmeta-9-r0.apk
2024-10-25 20:11
14K
luksmeta-dev-9-r0.apk
2024-10-25 20:11
3.1K
luksmeta-doc-9-r0.apk
2024-10-25 20:11
5.5K
lumina-desktop-1.6.2-r0.apk
2024-10-25 20:11
1.2K
lumina-desktop-archiver-1.6.2-r0.apk
2024-10-25 20:11
182K
lumina-desktop-core-1.6.2-r0.apk
2024-10-25 20:11
9.1M
lumina-desktop-coreutils-1.6.2-r0.apk
2024-10-25 20:11
885K
lumina-desktop-doc-1.6.2-r0.apk
2024-10-25 20:11
12K
lumina-desktop-fileinfo-1.6.2-r0.apk
2024-10-25 20:11
175K
lumina-desktop-fm-1.6.2-r0.apk
2024-10-25 20:11
426K
lumina-desktop-mediaplayer-1.6.2-r0.apk
2024-10-25 20:11
216K
lumina-desktop-photo-1.6.2-r0.apk
2024-10-25 20:11
138K
lumina-desktop-screenshot-1.6.2-r0.apk
2024-10-25 20:11
186K
lumina-desktop-sudo-1.6.2-r0.apk
2024-10-25 20:11
107K
lumina-desktop-textedit-1.6.2-r0.apk
2024-10-25 20:11
211K
lumins-0.4.0-r2.apk
2024-10-25 20:11
667K
lutgen-1.0.1-r0.apk
2025-11-19 18:45
1.9M
lutgen-bash-completion-1.0.1-r0.apk
2025-11-19 18:45
1.7K
lutgen-doc-1.0.1-r0.apk
2025-11-19 18:45
4.5K
lutgen-fish-completion-1.0.1-r0.apk
2025-11-19 18:45
1.8K
lutgen-zsh-completion-1.0.1-r0.apk
2025-11-19 18:45
1.7K
lutris-0.5.19-r1.apk
2025-09-16 18:09
819K
lutris-doc-0.5.19-r1.apk
2025-09-16 18:09
2.3K
lutris-lang-0.5.19-r1.apk
2025-09-16 18:09
810K
lutris-pyc-0.5.19-r1.apk
2025-09-16 18:09
1.1M
lv_font_conv-1.5.3-r0.apk
2025-08-19 19:54
1.1M
lv_font_conv-doc-1.5.3-r0.apk
2025-08-19 19:54
5.0K
lxappearance-0.6.3-r4.apk
2026-01-19 14:36
29K
lxappearance-dev-0.6.3-r4.apk
2026-01-19 14:36
3.2K
lxappearance-doc-0.6.3-r4.apk
2026-01-19 14:36
2.6K
lxappearance-lang-0.6.3-r4.apk
2026-01-19 14:36
79K
lxqt-wayland-session-0.3.0-r0.apk
2025-11-20 11:11
339K
lxqt-wayland-session-doc-0.3.0-r0.apk
2025-11-20 11:11
29K
lychee-0.19.1-r0.apk
2025-06-17 08:07
2.9M
lychee-doc-0.19.1-r0.apk
2025-06-17 08:07
13K
lynis-3.1.4-r0.apk
2025-07-29 08:12
276K
lynis-bash-completion-3.1.4-r0.apk
2025-07-29 08:12
3.0K
lynis-doc-3.1.4-r0.apk
2025-07-29 08:12
50K
lyrics-in-terminal-1.7.0-r0.apk
2025-01-03 14:34
38K
m2r2-0.3.4-r0.apk
2026-01-08 17:32
12K
m2r2-pyc-0.3.4-r0.apk
2026-01-08 17:32
15K
macchina-6.4.0-r0.apk
2025-07-22 20:51
1.0M
macchina-doc-6.4.0-r0.apk
2025-07-22 20:51
5.7K
mage-1.13.0-r23.apk
2025-05-12 07:42
1.6M
magpie-0.9.4-r0.apk
2025-10-16 17:07
1.8M
magpie-dev-0.9.4-r0.apk
2025-10-16 17:07
246K
magpie-lang-0.9.4-r0.apk
2025-10-16 17:07
849K
maildir-rank-addr-1.4.1-r2.apk
2026-01-19 14:36
3.5M
maildir-rank-addr-doc-1.4.1-r2.apk
2026-01-19 14:36
2.3K
maildir2rss-0.0.7-r11.apk
2026-01-19 14:36
3.8M
mailsec-check-0_git20210729-r32.apk
2026-01-19 14:36
2.8M
makeclapman-2.4.4-r11.apk
2026-01-19 14:36
1.4M
makeclapman-doc-2.4.4-r11.apk
2026-01-19 14:36
4.1K
makedumpfile-1.7.8-r0.apk
2025-11-05 14:24
197K
makedumpfile-doc-1.7.8-r0.apk
2025-11-05 14:24
24K
makedumpfile-openrc-1.7.8-r0.apk
2025-11-05 14:24
3.1K
makeself-2.5.0-r0.apk
2024-10-25 20:11
13K
malcontent-0.13.1-r0.apk
2025-09-06 20:30
161K
malcontent-dev-0.13.1-r0.apk
2025-09-06 20:30
24K
malcontent-doc-0.13.1-r0.apk
2025-09-06 20:30
45K
mame-0.251-r0.apk
2024-10-25 20:11
94M
mame-arcade-0.251-r0.apk
2024-10-25 20:11
65M
mame-common-0.251-r0.apk
2024-10-25 20:11
2.7K
mame-data-0.251-r0.apk
2024-10-25 20:11
19M
mame-doc-0.251-r0.apk
2024-10-25 20:11
24K
mame-lang-0.251-r0.apk
2024-10-25 20:11
1.4M
mame-mess-0.251-r0.apk
2024-10-25 20:11
52M
mame-plugins-0.251-r0.apk
2024-10-25 20:11
166K
mame-tools-0.251-r0.apk
2024-10-25 20:11
2.7M
mangal-4.0.6-r24.apk
2026-01-19 14:36
11M
mangal-bash-completion-4.0.6-r24.apk
2026-01-19 14:36
5.0K
mangal-fish-completion-4.0.6-r24.apk
2026-01-19 14:36
3.9K
mangal-zsh-completion-4.0.6-r24.apk
2026-01-19 14:36
4.0K
mangowc-0.11.0-r0.apk
2026-01-21 19:17
118K
mangr0ve-0.1.2-r0.apk
2024-10-25 20:11
2.8K
mangr0ve-doc-0.1.2-r0.apk
2024-10-25 20:11
14K
manifest-tool-2.2.1-r0.apk
2026-01-23 17:23
5.0M
mapnik-4.1.4-r2.apk
2026-01-27 11:53
13M
mapnik-dev-4.1.4-r2.apk
2026-01-27 11:53
487K
mapnik-doc-4.1.4-r2.apk
2026-01-27 11:53
143K
mapserver-8.4.1-r1.apk
2025-12-04 14:22
1.4M
mapserver-dev-8.4.1-r1.apk
2025-12-04 14:22
540K
marxan-4.0.7-r1.apk
2024-10-25 20:11
602K
masky-0.2.0-r2.apk
2025-05-29 12:07
277K
masky-pyc-0.2.0-r2.apk
2025-05-29 12:07
64K
mat2-0.13.5-r0.apk
2025-09-16 19:00
35K
mat2-doc-0.13.5-r0.apk
2025-09-16 19:00
7.7K
mat2-pyc-0.13.5-r0.apk
2025-09-16 19:00
54K
materia-20210322-r4.apk
2026-01-20 04:56
1.7K
materia-chromium-20210322-r4.apk
2026-01-20 04:56
5.7K
materia-compact-20210322-r4.apk
2026-01-20 04:56
1.7K
materia-compact-chromium-20210322-r4.apk
2026-01-20 04:56
5.7K
materia-compact-gnome-shell-20210322-r4.apk
2026-01-20 04:56
29K
materia-compact-gtk3-20210322-r4.apk
2026-01-20 04:56
63K
materia-compact-gtk4-20210322-r4.apk
2026-01-20 04:56
43K
materia-dark-20210322-r4.apk
2026-01-20 04:56
1.7K
materia-dark-chromium-20210322-r4.apk
2026-01-20 04:56
5.7K
materia-dark-compact-20210322-r4.apk
2026-01-20 04:56
1.7K
materia-dark-compact-chromium-20210322-r4.apk
2026-01-20 04:56
5.7K
materia-dark-compact-gnome-shell-20210322-r4.apk
2026-01-20 04:56
29K
materia-dark-compact-gtk3-20210322-r4.apk
2026-01-20 04:56
40K
materia-dark-compact-gtk4-20210322-r4.apk
2026-01-20 04:56
29K
materia-dark-gnome-shell-20210322-r4.apk
2026-01-20 04:56
29K
materia-dark-gtk3-20210322-r4.apk
2026-01-20 04:56
40K
materia-dark-gtk4-20210322-r4.apk
2026-01-20 04:56
29K
materia-gnome-shell-20210322-r4.apk
2026-01-20 04:56
29K
materia-gtk-theme-20210322-r4.apk
2026-01-20 04:56
139K
materia-gtk3-20210322-r4.apk
2026-01-20 04:56
63K
materia-gtk4-20210322-r4.apk
2026-01-20 04:56
43K
mautrix-linkedin-0.2512.0-r1.apk
2026-01-19 14:36
6.9M
mautrix-linkedin-doc-0.2512.0-r1.apk
2026-01-19 14:36
13K
mautrix-linkedin-openrc-0.2512.0-r1.apk
2026-01-19 14:36
2.0K
mautrix-zulip-0.2511.0-r2.apk
2026-01-19 14:36
7.0M
mautrix-zulip-doc-0.2511.0-r2.apk
2026-01-19 14:36
13K
mautrix-zulip-openrc-0.2511.0-r2.apk
2026-01-19 14:36
2.0K
maxima-5.48.1-r9.apk
2025-08-22 10:19
26M
maxima-bash-completion-5.48.1-r9.apk
2025-08-22 10:19
2.3K
maxima-doc-5.48.1-r9.apk
2025-08-22 10:19
846K
maxima-doc-extra-5.48.1-r9.apk
2025-08-22 10:19
9.6M
maxima-emacs-5.48.1-r9.apk
2025-08-22 10:19
111K
mbpfan-2.4.0-r2.apk
2026-01-27 05:16
13K
mbpfan-doc-2.4.0-r2.apk
2026-01-27 05:16
5.3K
mbpfan-openrc-2.4.0-r2.apk
2026-01-27 05:16
1.7K
mbrola-3.3-r0.apk
2024-10-25 20:11
24K
mcjoin-2.11-r0.apk
2024-10-25 20:11
26K
mcjoin-doc-2.11-r0.apk
2024-10-25 20:11
54K
md5ha1-0_git20171202-r1.apk
2024-10-25 20:11
8.8K
mdbook-admonish-1.20.0-r0.apk
2025-06-17 03:03
1.0M
mdbook-alerts-0.8.0-r0.apk
2025-09-26 11:17
727K
mdbook-katex-0.9.4-r0.apk
2025-05-17 14:14
1.2M
mdbook-linkcheck-0.7.7-r0.apk
2025-05-16 19:17
2.7M
mdbook-plantuml-0.8.0-r0.apk
2024-10-25 20:11
918K
mdnsd-0.12-r1.apk
2024-10-25 20:11
23K
mdnsd-doc-0.12-r1.apk
2024-10-25 20:11
14K
mdnsd-libs-0.12-r1.apk
2024-10-25 20:11
19K
mdnsd-openrc-0.12-r1.apk
2024-10-25 20:11
2.1K
mdnsd-static-0.12-r1.apk
2024-10-25 20:11
18K
mdp-1.0.18-r0.apk
2025-07-10 02:27
16K
mdp-doc-1.0.18-r0.apk
2025-07-10 02:27
3.9K
mediascanner2-0.118-r4.apk
2025-11-17 13:00
286K
mediascanner2-systemd-0.118-r4.apk
2025-11-17 13:00
1.8K
mediastreamer2-5.3.112-r1.apk
2025-10-19 10:20
387K
mediastreamer2-dev-5.3.112-r1.apk
2025-10-19 10:20
110K
mediastreamer2-doc-5.3.112-r1.apk
2025-10-19 10:20
108K
mediastreamer2-plugin-openh264-5.2.0_git20231020-r1.apk
2025-03-06 22:29
12K
mediastreamer2-plugin-x264-20200722-r6.apk
2024-10-25 20:11
8.4K
meep-1.31.0-r1.apk
2025-08-19 13:08
662K
meep-dev-1.31.0-r1.apk
2025-08-19 13:08
501K
megatools-1.11.5.20250706-r0.apk
2025-07-30 15:11
64K
megatools-bash-completion-1.11.5.20250706-r0.apk
2025-07-30 15:11
4.1K
megatools-doc-1.11.5.20250706-r0.apk
2025-07-30 15:11
52K
megazeux-2.93d-r0.apk
2025-06-10 13:49
1.4M
megazeux-doc-2.93d-r0.apk
2025-06-10 13:49
465K
memdump-1.01-r1.apk
2024-10-25 20:11
5.7K
memdump-doc-1.01-r1.apk
2024-10-25 20:11
3.1K
menumaker-0.99.14-r1.apk
2024-10-25 20:11
111K
mergerfs-2.41.1-r0.apk
2025-12-13 16:24
439K
mergerfs-doc-2.41.1-r0.apk
2025-12-13 16:24
3.3K
metadata-cleaner-2.5.6-r0.apk
2025-01-31 14:31
49K
metadata-cleaner-doc-2.5.6-r0.apk
2025-01-31 14:31
1.9M
metadata-cleaner-lang-2.5.6-r0.apk
2025-01-31 14:31
66K
metalang99-1.13.3-r0.apk
2024-10-25 20:11
54K
microsocks-1.0.5-r0.apk
2025-12-21 23:53
8.4K
microsocks-doc-1.0.5-r0.apk
2025-12-21 23:53
3.9K
microsocks-openrc-1.0.5-r0.apk
2025-12-21 23:53
1.8K
milkytracker-1.04.00-r2.apk
2024-10-25 20:11
1.0M
milkytracker-doc-1.04.00-r2.apk
2024-10-25 20:11
50K
mimalloc1-1.9.4-r0.apk
2025-11-05 14:28
86K
mimalloc1-debug-1.9.4-r0.apk
2025-11-05 14:28
201K
mimalloc1-dev-1.9.4-r0.apk
2025-11-05 14:28
506K
mimalloc1-insecure-1.9.4-r0.apk
2025-11-05 14:28
79K
mimedefang-3.6-r1.apk
2025-10-06 01:15
155K
mimedefang-doc-3.6-r1.apk
2025-10-06 01:15
81K
mimeo-2023-r2.apk
2024-10-25 20:11
28K
mimeo-pyc-2023-r2.apk
2024-10-25 20:11
42K
mingw-w64-libusb-1.0.29-r0.apk
2025-09-19 04:51
219K
minidyndns-1.3.0-r3.apk
2024-10-25 20:11
12K
minidyndns-doc-1.3.0-r3.apk
2024-10-25 20:11
5.1K
minidyndns-openrc-1.3.0-r3.apk
2024-10-25 20:11
1.8K
minigalaxy-1.4.0-r0.apk
2025-07-11 03:36
197K
minigalaxy-pyc-1.4.0-r0.apk
2025-07-11 03:36
135K
minimodem-0.24-r1.apk
2024-10-25 20:11
22K
minimodem-doc-0.24-r1.apk
2024-10-25 20:11
5.2K
minisatip-1.3.4-r0.apk
2024-10-25 20:11
303K
minisatip-openrc-1.3.4-r0.apk
2024-10-25 20:11
1.9K
mint-themes-2.3.7-r1.apk
2026-01-24 14:18
4.8M
mint-themes-doc-2.3.7-r1.apk
2026-01-24 14:18
15K
mint-x-icons-1.7.5-r0.apk
2025-12-01 06:05
23M
mint-x-icons-doc-1.7.5-r0.apk
2025-12-01 06:05
7.9K
mint-x-theme-2.3.7-r1.apk
2026-01-24 14:18
2.8K
mint-x-theme-gtk3-2.3.7-r1.apk
2026-01-24 14:18
597K
mint-x-theme-gtk4-2.3.7-r1.apk
2026-01-24 14:18
503K
mint-x-theme-metacity-2.3.7-r1.apk
2026-01-24 14:18
6.0K
mint-x-theme-xfwm4-2.3.7-r1.apk
2026-01-24 14:18
31K
mint-y-icons-1.9.1-r0.apk
2026-01-06 13:45
73M
mint-y-icons-doc-1.9.1-r0.apk
2026-01-06 13:45
12K
mint-y-theme-2.3.7-r1.apk
2026-01-24 14:18
3.5K
mint-y-theme-gtk3-2.3.7-r1.apk
2026-01-24 14:18
2.0M
mint-y-theme-gtk4-2.3.7-r1.apk
2026-01-24 14:18
1.7M
mint-y-theme-metacity-2.3.7-r1.apk
2026-01-24 14:18
55K
mint-y-theme-xfwm4-2.3.7-r1.apk
2026-01-24 14:18
206K
mir-2.25.2-r0.apk
2025-12-18 14:42
2.6M
mir-demos-2.25.2-r0.apk
2025-12-18 14:42
156K
mir-dev-2.25.2-r0.apk
2025-12-18 14:42
7.8M
mir-test-tools-2.25.2-r0.apk
2025-12-18 14:42
1.3M
miracle-wm-0.8.3-r0.apk
2025-12-31 18:35
490K
miracle-wm-dev-0.8.3-r0.apk
2025-12-31 18:35
15K
mirrorhall-0.1.1-r2.apk
2025-08-09 02:08
26K
mjpg-streamer-0_git20210220-r2.apk
2025-05-14 16:02
180K
mkcert-1.4.4-r25.apk
2026-01-19 14:36
1.9M
mkdocs-bootstrap-1.1.1-r2.apk
2024-10-25 20:11
29K
mkdocs-bootstrap-pyc-1.1.1-r2.apk
2024-10-25 20:11
1.8K
mkdocs-bootstrap4-0.1.5-r5.apk
2024-10-25 20:11
260K
mkdocs-bootstrap4-pyc-0.1.5-r5.apk
2024-10-25 20:11
1.8K
mkdocs-bootstrap386-0.0.2-r5.apk
2024-10-25 20:11
791K
mkdocs-bootstrap386-pyc-0.0.2-r5.apk
2024-10-25 20:11
1.8K
mkdocs-bootswatch-1.1-r5.apk
2024-10-25 20:11
538K
mkdocs-bootswatch-pyc-1.1-r5.apk
2024-10-25 20:11
4.7K
mkdocs-cinder-1.2.0-r5.apk
2024-10-25 20:11
249K
mkdocs-cinder-pyc-1.2.0-r5.apk
2024-10-25 20:11
1.8K
mkdocs-cluster-0.0.9-r5.apk
2024-10-25 20:11
651K
mkdocs-cluster-pyc-0.0.9-r5.apk
2024-10-25 20:11
1.8K
mkdocs-gitbook-0.0.1-r5.apk
2024-10-25 20:11
644K
mkdocs-gitbook-pyc-0.0.1-r5.apk
2024-10-25 20:11
1.8K
mkdocs-ivory-0.4.6-r5.apk
2024-10-25 20:11
11K
mkdocs-ivory-pyc-0.4.6-r5.apk
2024-10-25 20:11
1.8K
mkdocs-rtd-dropdown-1.0.2-r5.apk
2024-10-25 20:11
248K
mkdocs-rtd-dropdown-pyc-1.0.2-r5.apk
2024-10-25 20:11
1.8K
mkdocs-windmill-1.0.5-r4.apk
2024-10-25 20:11
944K
mkdocs-windmill-pyc-1.0.5-r4.apk
2024-10-25 20:11
1.8K
mkdotenv-0.4.9-r3.apk
2026-01-19 14:36
1.0M
mkg3a-0.5.0-r1.apk
2024-10-25 20:11
15K
mkg3a-doc-0.5.0-r1.apk
2024-10-25 20:11
3.1K
mkosi-26-r1.apk
2025-12-27 21:42
283K
mkosi-doc-26-r1.apk
2025-12-27 21:42
75K
mkosi-pyc-26-r1.apk
2025-12-27 21:42
438K
mkosi-sandbox-26-r1.apk
2025-12-27 21:42
14K
mktorrent-borg-0.9.9-r1.apk
2024-10-25 20:11
11K
mktorrent-borg-doc-0.9.9-r1.apk
2024-10-25 20:11
2.5K
mlxl-0.1-r0.apk
2024-10-25 20:11
6.4K
mm-1.4.2-r1.apk
2024-10-25 20:11
8.7K
mm-common-1.0.7-r0.apk
2025-06-27 16:39
414K
mm-common-doc-1.0.7-r0.apk
2025-06-27 16:39
33K
mm-dev-1.4.2-r1.apk
2024-10-25 20:11
13K
mm-doc-1.4.2-r1.apk
2024-10-25 20:11
14K
mmar-0.2.5-r1.apk
2025-05-12 07:42
2.7M
mmix-0_git20221025-r0.apk
2024-10-25 20:11
150K
mml-1.0.0-r1.apk
2025-09-30 00:41
889K
mml-bash-completion-1.0.0-r1.apk
2025-09-30 00:41
2.3K
mml-doc-1.0.0-r1.apk
2025-09-30 00:41
3.8K
mml-fish-completion-1.0.0-r1.apk
2025-09-30 00:41
2.2K
mml-zsh-completion-1.0.0-r1.apk
2025-09-30 00:41
2.8K
mnamer-2.5.5-r1.apk
2024-10-25 20:11
32K
mnamer-pyc-2.5.5-r1.apk
2024-10-25 20:11
60K
mobpass-0.2-r6.apk
2024-10-25 20:11
18K
mobpass-pyc-0.2-r6.apk
2024-10-25 20:11
5.2K
modem-manager-gui-0.0.20-r0.apk
2024-10-25 20:11
373K
modem-manager-gui-doc-0.0.20-r0.apk
2024-10-25 20:11
3.9M
modem-manager-gui-lang-0.0.20-r0.apk
2024-10-25 20:11
129K
mods-1.8.1-r4.apk
2026-01-19 14:36
11M
mods-doc-1.8.1-r4.apk
2026-01-19 14:36
2.3K
moe-1.14-r0.apk
2024-10-25 20:11
109K
moe-doc-1.14-r0.apk
2024-10-25 20:11
19K
moka-icon-theme-5.4.0-r2.apk
2024-10-25 20:11
114M
monetdb-11.33.11-r4.apk
2024-10-25 20:11
2.2M
monetdb-dev-11.33.11-r4.apk
2024-10-25 20:11
77K
monetdb-doc-11.33.11-r4.apk
2024-10-25 20:11
321K
mongo-cxx-driver-3.8.0-r0.apk
2024-10-25 20:11
202K
mongo-cxx-driver-dev-3.8.0-r0.apk
2024-10-25 20:11
89K
monopd-0.10.4-r0.apk
2025-01-11 11:11
100K
monopd-openrc-0.10.4-r0.apk
2025-01-11 11:11
1.7K
moon-buggy-1.0.51-r1.apk
2024-10-25 20:11
37K
moon-buggy-doc-1.0.51-r1.apk
2024-10-25 20:11
7.1K
moosefs-4.56.6-r2.apk
2025-06-19 08:56
254K
moosefs-cgi-4.56.6-r2.apk
2025-06-19 08:56
121K
moosefs-cgiserv-4.56.6-r2.apk
2025-06-19 08:56
7.8K
moosefs-cgiserv-openrc-4.56.6-r2.apk
2025-06-19 08:56
2.0K
moosefs-chunkserver-4.56.6-r2.apk
2025-06-19 08:56
189K
moosefs-chunkserver-openrc-4.56.6-r2.apk
2025-06-19 08:56
1.7K
moosefs-client-4.56.6-r2.apk
2025-06-19 08:56
632K
moosefs-doc-4.56.6-r2.apk
2025-06-19 08:56
95K
moosefs-master-4.56.6-r2.apk
2025-06-19 08:56
365K
moosefs-master-openrc-4.56.6-r2.apk
2025-06-19 08:56
1.7K
moosefs-metalogger-4.56.6-r2.apk
2025-06-19 08:56
42K
moosefs-metalogger-openrc-4.56.6-r2.apk
2025-06-19 08:56
1.7K
moosefs-static-4.56.6-r2.apk
2025-06-19 08:56
618K
morph-browser-1.99.2-r0.apk
2026-01-25 21:16
570K
morph-browser-lang-1.99.2-r0.apk
2026-01-25 21:16
344K
motion-4.7.1-r0.apk
2025-09-27 21:22
140K
motion-doc-4.7.1-r0.apk
2025-09-27 21:22
140K
motion-lang-4.7.1-r0.apk
2025-09-27 21:22
471K
motion-openrc-4.7.1-r0.apk
2025-09-27 21:22
2.2K
mp3val-0.1.8-r1.apk
2024-10-25 20:11
14K
mpdcron-0.3-r1.apk
2024-10-25 20:11
104K
mpdcron-dev-0.3-r1.apk
2024-10-25 20:11
59K
mpdcron-doc-0.3-r1.apk
2024-10-25 20:11
13K
mpdcron-zsh-completion-0.3-r1.apk
2024-10-25 20:11
2.9K
mpdris2-0.9.1-r3.apk
2024-10-25 20:11
15K
mpdris2-doc-0.9.1-r3.apk
2024-10-25 20:11
15K
mpdris2-lang-0.9.1-r3.apk
2024-10-25 20:11
2.3K
mqtt2prometheus-0.1.7-r22.apk
2026-01-19 14:36
4.7M
mrsh-0_git20210518-r1.apk
2024-10-25 20:11
5.7K
mrsh-dbg-0_git20210518-r1.apk
2024-10-25 20:11
188K
mrsh-dev-0_git20210518-r1.apk
2024-10-25 20:11
10K
mrsh-libs-0_git20210518-r1.apk
2024-10-25 20:11
68K
msh-2.5.0-r18.apk
2026-01-19 14:36
3.1M
msh-openrc-2.5.0-r18.apk
2026-01-19 14:36
2.0K
mspdebug-0.25-r1.apk
2024-10-25 20:11
196K
mspdebug-doc-0.25-r1.apk
2024-10-25 20:11
14K
msr-tools-1.3-r1.apk
2024-10-25 20:11
10K
mtail-3.2.26-r2.apk
2026-01-19 14:36
14M
mtail-openrc-3.2.26-r2.apk
2026-01-19 14:36
2.0K
musikcube-3.0.5-r0.apk
2025-09-27 21:22
2.3M
musikcube-dev-3.0.5-r0.apk
2025-09-27 21:22
19K
musikcube-plugin-all-3.0.5-r0.apk
2025-09-27 21:22
1.3K
musikcube-plugin-httpdatastream-3.0.5-r0.apk
2025-09-27 21:22
86K
musikcube-plugin-mpris-3.0.5-r0.apk
2025-09-27 21:22
24K
musikcube-plugin-openmpt-3.0.5-r0.apk
2025-09-27 21:22
34K
musikcube-plugin-server-3.0.5-r0.apk
2025-09-27 21:22
411K
musikcube-plugin-stockencoders-3.0.5-r0.apk
2025-09-27 21:22
22K
musikcube-plugin-supereqdsp-3.0.5-r0.apk
2025-09-27 21:22
29K
musikcube-plugin-taglibreader-3.0.5-r0.apk
2025-09-27 21:22
40K
mxclient-0_git20211002-r1.apk
2024-10-25 20:11
81K
n30f-2.0-r3.apk
2024-10-25 20:11
6.8K
naken_asm-0_git20240726-r0.apk
2026-01-24 14:01
970K
naken_asm-dev-0_git20240726-r0.apk
2026-01-24 14:01
89K
nano-hare-0_git20231021-r0.apk
2024-10-25 20:11
2.2K
nautilus-python-4.0.1-r0.apk
2025-10-12 21:54
10K
nautilus-python-dev-4.0.1-r0.apk
2025-10-12 21:54
1.7K
nautilus-python-doc-4.0.1-r0.apk
2025-10-12 21:54
4.2K
nb-7.24.0-r0.apk
2026-01-21 19:17
157K
nb-bash-completion-7.24.0-r0.apk
2026-01-21 19:17
3.0K
nb-doc-7.24.0-r0.apk
2026-01-21 19:17
79K
nb-fish-completion-7.24.0-r0.apk
2026-01-21 19:17
2.8K
nb-full-7.24.0-r0.apk
2026-01-21 19:17
1.3K
nb-zsh-completion-7.24.0-r0.apk
2026-01-21 19:17
2.9K
nbsdgames-5-r0.apk
2024-10-25 20:11
105K
nbsdgames-doc-5-r0.apk
2024-10-25 20:11
9.4K
ndpi-4.10-r0.apk
2024-10-25 20:11
1.4M
ndpi-dev-4.10-r0.apk
2024-10-25 20:11
898K
neard-0.19-r1.apk
2026-01-19 14:36
134K
neard-dev-0.19-r1.apk
2026-01-19 14:36
11K
neard-doc-0.19-r1.apk
2026-01-19 14:36
5.6K
neard-openrc-0.19-r1.apk
2026-01-19 14:36
1.7K
nemo-gtkhash-plugin-1.5-r0.apk
2024-10-25 20:11
26K
neocmakelsp-0.9.1-r0.apk
2026-01-10 07:02
1.6M
neocmakelsp-bash-completion-0.9.1-r0.apk
2026-01-10 07:02
2.0K
neocmakelsp-doc-0.9.1-r0.apk
2026-01-10 07:02
5.8K
neocmakelsp-fish-completion-0.9.1-r0.apk
2026-01-10 07:02
1.6K
neocmakelsp-zsh-completion-0.9.1-r0.apk
2026-01-10 07:02
2.0K
neofetch-7.1.0-r2.apk
2024-11-06 21:46
86K
neofetch-doc-7.1.0-r2.apk
2024-11-06 21:46
6.2K
net-predictable-1.5.1-r6.apk
2026-01-19 14:36
1.0M
net-predictable-doc-1.5.1-r6.apk
2026-01-19 14:36
2.2K
netdiscover-0.21-r0.apk
2025-08-15 12:46
450K
netdiscover-doc-0.21-r0.apk
2025-08-15 12:46
4.2K
netscanner-0.5.1-r1.apk
2024-10-25 20:11
3.6M
netscanner-doc-0.5.1-r1.apk
2024-10-25 20:11
3.3K
netsed-1.4-r0.apk
2025-08-18 10:15
11K
networkmanager-dmenu-2.6.1-r1.apk
2025-10-13 16:06
14K
networkmanager-dmenu-doc-2.6.1-r1.apk
2025-10-13 16:06
6.8K
newsyslog-1.2.0.91-r1.apk
2024-10-25 20:11
17K
newsyslog-doc-1.2.0.91-r1.apk
2024-10-25 20:11
24K
nextpnr-0.7-r0.apk
2024-10-25 20:11
1.4K
nextpnr-ecp5-0.7-r0.apk
2024-10-25 20:11
25M
nextpnr-generic-0.7-r0.apk
2024-10-25 20:11
781K
nextpnr-gowin-0.7-r0.apk
2024-10-25 20:11
1.5M
nextpnr-ice40-0.7-r0.apk
2024-10-25 20:11
69M
nfcd-1.2.4-r0.apk
2025-12-31 18:35
353K
nfcd-dev-1.2.4-r0.apk
2025-12-31 18:35
25K
nfcd-systemd-1.2.4-r0.apk
2025-12-31 18:35
1.8K
nfoview-2.1-r0.apk
2025-04-13 10:30
39K
nfoview-doc-2.1-r0.apk
2025-04-13 10:30
8.0K
nginx-ultimate-bad-bot-blocker-4.2023.10.4046-r1.apk
2024-10-25 20:11
713K
nginx-ultimate-bad-bot-blocker-doc-4.2023.10.4046-r1.apk
2024-10-25 20:11
21K
nicotine-plus-3.3.10-r0.apk
2025-05-01 18:06
1.6M
nicotine-plus-doc-3.3.10-r0.apk
2025-05-01 18:06
2.6K
nicotine-plus-lang-3.3.10-r0.apk
2025-05-01 18:06
757K
nicotine-plus-pyc-3.3.10-r0.apk
2025-05-01 18:06
789K
nim-bcrypt-0.2.1-r0.apk
2026-01-24 13:35
2.4K
nim-bcrypt-dev-0.2.1-r0.apk
2026-01-24 13:35
16K
nim-cligen-1.9.5-r0.apk
2026-01-24 13:35
189K
nim-cligen-doc-1.9.5-r0.apk
2026-01-24 13:35
2.1K
nim-nmqtt-1.0.7-r0.apk
2026-01-24 13:35
23K
nim-sha2-0.1.1-r0.apk
2026-01-24 13:35
6.0K
nim-sha2-doc-0.1.1-r0.apk
2026-01-24 13:35
2.3K
nitro-2.7_beta8-r2.apk
2024-10-25 20:11
536K
nitro-dev-2.7_beta8-r2.apk
2024-10-25 20:11
190K
nitro-init-0.7.1-r0.apk
2026-01-26 11:49
22K
nitro-init-doc-0.7.1-r0.apk
2026-01-26 11:49
4.6K
nkk-0_git20221010-r0.apk
2024-10-25 20:11
16K
nkk-dev-0_git20221010-r0.apk
2024-10-25 20:11
2.9K
nkk-doc-0_git20221010-r0.apk
2024-10-25 20:11
7.0K
nm-tray-0.5.1-r0.apk
2025-09-11 17:35
107K
nm-tray-lang-0.5.1-r0.apk
2025-09-11 17:35
27K
nmap-parse-output-1.5.1-r1.apk
2025-05-29 12:07
20K
nmap-parse-output-bash-completion-1.5.1-r1.apk
2025-05-29 12:07
2.0K
nmap-parse-output-doc-1.5.1-r1.apk
2025-05-29 12:07
808K
nmqtt-1.0.7-r0.apk
2026-01-24 13:35
939K
nmqtt-doc-1.0.7-r0.apk
2026-01-24 13:35
2.3K
nmqtt-openrc-1.0.7-r0.apk
2026-01-24 13:35
2.0K
noblenote-1.2.1-r1.apk
2024-10-25 20:11
418K
noggin-model-0.1-r0.apk
2024-10-25 20:11
12M
noggin-model-lightweight-0.1-r0.apk
2024-10-25 20:11
1.7M
noice-0.8-r1.apk
2024-10-25 20:11
10K
noice-doc-0.8-r1.apk
2024-10-25 20:11
3.4K
nom-2.8.0-r9.apk
2026-01-19 14:36
7.4M
nom-doc-2.8.0-r9.apk
2026-01-19 14:36
4.0K
nomadnet-0.8.0-r0.apk
2025-09-23 21:45
143K
nomadnet-pyc-0.8.0-r0.apk
2025-09-23 21:45
285K
normaliz-3.10.4-r3.apk
2025-11-26 08:26
46K
normaliz-dev-3.10.4-r3.apk
2025-11-26 08:26
73K
normaliz-libs-3.10.4-r3.apk
2025-11-26 08:26
3.1M
notification-daemon-3.20.0-r1.apk
2025-07-01 22:12
60K
nrf5-sdk-17.1.0-r0.apk
2025-08-19 19:54
47M
nrf5-sdk-doc-17.1.0-r0.apk
2025-08-19 19:54
3.6K
nsh-0.4.2-r1.apk
2024-10-25 20:11
627K
nsh-dbg-0.4.2-r1.apk
2024-10-25 20:11
3.4M
nsnake-3.0.0-r0.apk
2024-10-25 20:11
9.8K
nsnake-doc-3.0.0-r0.apk
2024-10-25 20:11
2.6K
nsq-1.3.0-r10.apk
2025-05-12 07:42
27M
ntpd-rs-1.6.2-r2.apk
2025-12-18 18:05
3.2M
ntpd-rs-doc-1.6.2-r2.apk
2025-12-18 18:05
24K
ntpd-rs-openrc-1.6.2-r2.apk
2025-12-18 18:05
1.9K
nuklear-4.12.0-r0.apk
2024-10-25 20:11
220K
nuklear-doc-4.12.0-r0.apk
2024-10-25 20:11
42K
nullmailer-2.2-r4.apk
2024-10-25 20:11
139K
nullmailer-doc-2.2-r4.apk
2024-10-25 20:11
10K
nullmailer-openrc-2.2-r4.apk
2024-10-25 20:11
1.6K
numbat-1.16.0-r0.apk
2025-08-19 13:12
1.8M
numbat-doc-1.16.0-r0.apk
2025-08-19 13:12
32K
nuzzle-1.6-r0.apk
2025-01-17 14:21
11K
nuzzle-doc-1.6-r0.apk
2025-01-17 14:21
3.2K
nvim-cmp-0.0.0_git20221011-r1.apk
2024-10-25 20:11
55K
nvim-cmp-buffer-0.0.0_git20220810-r1.apk
2024-10-25 20:11
7.8K
nvim-cmp-buffer-doc-0.0.0_git20220810-r1.apk
2024-10-25 20:11
4.2K
nvim-cmp-cmdline-0.0.0_git20220902-r1.apk
2024-10-25 20:11
3.3K
nvim-cmp-cmdline-doc-0.0.0_git20220902-r1.apk
2024-10-25 20:11
1.8K
nvim-cmp-doc-0.0.0_git20221011-r1.apk
2024-10-25 20:11
10K
nvim-cmp-lsp-0.0.0_git20220516-r1.apk
2024-10-25 20:11
3.5K
nvim-cmp-lsp-doc-0.0.0_git20220516-r1.apk
2024-10-25 20:11
2.6K
nvim-cmp-luasnip-0.0.0_git20220501-r1.apk
2024-10-25 20:11
3.5K
nvim-cmp-luasnip-doc-0.0.0_git20220501-r1.apk
2024-10-25 20:11
2.0K
nvim-cmp-path-0.0.0_git20221002-r1.apk
2024-10-25 20:11
3.8K
nvim-cmp-path-doc-0.0.0_git20221002-r1.apk
2024-10-25 20:11
2.0K
nvimpager-0.12.0-r0.apk
2024-10-25 20:11
13K
nvimpager-doc-0.12.0-r0.apk
2024-10-25 20:11
4.4K
nvimpager-zsh-completion-0.12.0-r0.apk
2024-10-25 20:11
1.8K
nvtop-3.2.0-r0.apk
2025-04-29 23:39
66K
nvtop-doc-3.2.0-r0.apk
2025-04-29 23:39
3.5K
nwg-bar-0.1.6-r16.apk
2026-01-19 14:36
1.7M
nwg-displays-0.3.26-r0.apk
2025-08-28 07:39
27K
nwg-displays-pyc-0.3.26-r0.apk
2025-08-28 07:39
36K
nwg-dock-0.4.3-r6.apk
2026-01-19 14:36
1.8M
nwg-look-1.0.6-r2.apk
2026-01-19 14:36
1.5M
nwg-look-doc-1.0.6-r2.apk
2026-01-19 14:36
4.2K
nwg-panel-0.10.13-r0.apk
2025-11-28 13:29
288K
nwg-panel-doc-0.10.13-r0.apk
2025-11-28 13:29
4.4K
nwg-panel-pyc-0.10.13-r0.apk
2025-11-28 13:29
270K
nwipe-0.39-r0.apk
2025-12-05 02:01
271K
nwipe-doc-0.39-r0.apk
2025-12-05 02:01
3.8K
nymphcast-mediaserver-0.1-r4.apk
2025-08-28 21:08
77K
nymphcast-mediaserver-nftables-0.1-r4.apk
2025-08-28 21:08
1.7K
nzbget-25.4-r0.apk
2025-11-17 14:40
5.1M
nzbget-openrc-25.4-r0.apk
2025-11-17 14:40
2.1K
oauth2-proxy-7.11.0-r5.apk
2026-01-19 14:36
9.3M
oauth2-proxy-openrc-7.11.0-r5.apk
2026-01-19 14:36
2.1K
objconv-2.52_git20210213-r2.apk
2024-10-25 20:11
264K
oblibs-0.3.4.0-r0.apk
2025-06-01 16:07
34K
oblibs-dbg-0.3.4.0-r0.apk
2025-06-01 16:07
91K
oblibs-dev-0.3.4.0-r0.apk
2025-06-01 16:07
288K
obnc-0.17.2-r0.apk
2025-05-25 23:37
137K
obnc-doc-0.17.2-r0.apk
2025-05-25 23:37
33K
ocfs2-tools-1.8.7-r4.apk
2024-10-25 20:12
1.2M
ocfs2-tools-dev-1.8.7-r4.apk
2024-10-25 20:12
45K
ocfs2-tools-doc-1.8.7-r4.apk
2024-10-25 20:12
69K
octoprint-1.11.5-r0.apk
2026-01-19 14:36
3.1M
octoprint-creality2xfix-0.0.4-r2.apk
2024-10-25 20:12
4.7K
octoprint-creality2xfix-pyc-0.0.4-r2.apk
2024-10-25 20:12
3.3K
octoprint-filecheck-2025.7.23-r0.apk
2026-01-04 14:27
28K
octoprint-filecheck-pyc-2025.7.23-r0.apk
2026-01-04 14:27
12K
octoprint-firmwarecheck-2025.7.23-r0.apk
2026-01-04 14:27
29K
octoprint-firmwarecheck-pyc-2025.7.23-r0.apk
2026-01-04 14:27
18K
octoprint-openrc-1.11.5-r0.apk
2026-01-19 14:36
1.8K
octoprint-pisupport-2025.7.23-r0.apk
2026-01-04 14:27
31K
octoprint-pisupport-pyc-2025.7.23-r0.apk
2026-01-04 14:27
15K
octoprint-pyc-1.11.5-r0.apk
2026-01-19 14:36
1.3M
odyssey-1.3-r3.apk
2024-10-25 20:12
127K
odyssey-openrc-1.3-r3.apk
2024-10-25 20:12
2.0K
oils-for-unix-0.35.0-r0.apk
2025-09-28 22:29
662K
oils-for-unix-bash-0.35.0-r0.apk
2025-09-28 22:29
1.7K
oils-for-unix-binsh-0.35.0-r0.apk
2025-09-28 22:29
1.5K
oils-for-unix-doc-0.35.0-r0.apk
2025-09-28 22:29
7.0K
ol-2.6-r0.apk
2025-05-01 06:23
1.0M
ol-dev-2.6-r0.apk
2025-05-01 06:23
16K
ol-doc-2.6-r0.apk
2025-05-01 06:23
2.6K
olsrd-0.9.8-r3.apk
2024-10-25 20:12
165K
olsrd-doc-0.9.8-r3.apk
2024-10-25 20:12
25K
olsrd-openrc-0.9.8-r3.apk
2024-10-25 20:12
1.9K
olsrd-plugins-0.9.8-r3.apk
2024-10-25 20:12
176K
onioncat-4.11.0-r1.apk
2024-10-25 20:12
64K
onioncat-doc-4.11.0-r1.apk
2024-10-25 20:12
19K
onionshare-2.6.3-r1.apk
2025-11-14 18:39
180K
onionshare-desktop-2.6.3-r1.apk
2025-11-14 18:39
1.3M
onionshare-pyc-2.6.3-r1.apk
2025-11-14 18:39
240K
oniux-0.7.0-r0.apk
2026-01-19 14:36
6.5M
oniux-doc-0.7.0-r0.apk
2026-01-19 14:36
3.3K
opcr-policy-0.3.1-r2.apk
2026-01-19 14:36
10M
openapi-tui-0.9.4-r1.apk
2024-10-25 20:12
4.0M
openapi-validator-1.19.2-r0.apk
2024-10-25 20:12
9.9M
openconnect-sso-0.8.0_git20230822-r0.apk
2025-05-14 09:13
29K
openconnect-sso-pyc-0.8.0_git20230822-r0.apk
2025-05-14 09:13
29K
opendht-3.1.11-r0.apk
2025-01-27 20:56
199K
opendht-dev-3.1.11-r0.apk
2025-01-27 20:56
71K
opendht-doc-3.1.11-r0.apk
2025-01-27 20:56
3.0K
opendht-libs-3.1.11-r0.apk
2025-01-27 20:56
633K
openfortivpn-1.22.1-r0.apk
2024-12-11 21:31
41K
openfortivpn-doc-1.22.1-r0.apk
2024-12-11 21:31
6.3K
openfpgaloader-0.11.0-r0.apk
2024-10-25 20:12
1.9M
openocd-esp32-0_git20250707-r2.apk
2025-10-20 21:16
1.9M
openocd-esp32-dev-0_git20250707-r2.apk
2025-10-20 21:16
3.7K
openocd-esp32-doc-0_git20250707-r2.apk
2025-10-20 21:16
3.2K
openocd-esp32-udev-0_git20250707-r2.apk
2025-10-20 21:16
3.5K
openocd-git-0_git20251018-r1.apk
2025-10-20 21:16
1.6M
openocd-git-cmd-openocd-0_git20251018-r1.apk
2025-10-20 21:16
1.6K
openocd-git-dbg-0_git20251018-r1.apk
2025-10-20 21:16
3.9M
openocd-git-dev-0_git20251018-r1.apk
2025-10-20 21:16
3.3K
openocd-git-doc-0_git20251018-r1.apk
2025-10-20 21:16
3.2K
openocd-git-udev-0_git20251018-r1.apk
2025-10-20 21:16
3.5K
openocd-riscv-0_git20230104-r2.apk
2024-10-25 20:12
1.5M
openocd-riscv-dev-0_git20230104-r2.apk
2024-10-25 20:12
3.7K
openocd-riscv-doc-0_git20230104-r2.apk
2024-10-25 20:12
3.3K
openocd-riscv-udev-rules-0_git20230104-r2.apk
2024-10-25 20:12
3.3K
openrdap-0.9.1-r0.apk
2025-06-24 15:50
3.5M
openrdap-doc-0.9.1-r0.apk
2025-06-24 15:50
2.2K
openscap-daemon-0.1.10-r9.apk
2024-10-25 20:12
60K
openscap-daemon-doc-0.1.10-r9.apk
2024-10-25 20:12
18K
openscap-daemon-pyc-0.1.10-r9.apk
2024-10-25 20:12
102K
openspades-0.1.3-r6.apk
2026-01-09 20:23
11M
openspades-doc-0.1.3-r6.apk
2026-01-09 20:23
19K
openswitcher-0.5.0-r4.apk
2024-10-25 20:12
148K
openswitcher-proxy-0.5.0-r4.apk
2024-10-25 20:12
9.4K
openswitcher-proxy-openrc-0.5.0-r4.apk
2024-10-25 20:12
2.0K
opentelemetry-cpp-1.24.0-r0.apk
2025-11-22 13:33
663K
opentelemetry-cpp-dev-1.24.0-r0.apk
2025-11-22 13:33
521K
opentelemetry-cpp-exporter-otlp-common-1.24.0-r0.apk
2025-11-22 13:33
49K
opentelemetry-cpp-exporter-otlp-grpc-1.24.0-r0.apk
2025-11-22 13:33
65K
opentelemetry-cpp-exporter-otlp-http-1.24.0-r0.apk
2025-11-22 13:33
96K
opentelemetry-cpp-exporter-zipkin-1.24.0-r0.apk
2025-11-22 13:33
54K
openterface-qt-0.5.11-r0.apk
2026-01-22 23:44
1.3M
openvpn3-3.8.5-r1.apk
2025-02-17 09:34
413K
openvpn3-dev-3.8.5-r1.apk
2025-02-17 09:34
667K
openwsman-2.8.1-r1.apk
2025-07-01 19:19
47K
openwsman-dev-2.8.1-r1.apk
2025-07-01 19:19
56K
openwsman-doc-2.8.1-r1.apk
2025-07-01 19:19
2.4K
openwsman-libs-2.8.1-r1.apk
2025-07-01 19:19
323K
opkg-0.7.0-r0.apk
2024-10-25 20:12
10K
opkg-dev-0.7.0-r0.apk
2024-10-25 20:12
106K
opkg-doc-0.7.0-r0.apk
2024-10-25 20:12
7.6K
opkg-libs-0.7.0-r0.apk
2024-10-25 20:12
80K
opkg-utils-0.7.0-r0.apk
2024-10-25 20:12
25K
opkg-utils-doc-0.7.0-r0.apk
2024-10-25 20:12
3.6K
opmsg-1.84-r1.apk
2024-10-25 20:12
304K
oppa-1.1.0-r0.apk
2025-10-12 13:21
546K
orage-4.21.0-r0.apk
2026-01-06 14:22
598K
orage-lang-4.21.0-r0.apk
2026-01-06 14:22
1.2M
organicmaps-2025.09.05.1-r1.apk
2026-01-19 14:36
127M
osmctools-0.9-r0.apk
2024-10-25 20:12
123K
ostui-1.0.4-r0.apk
2025-09-11 02:50
5.1M
ostui-doc-1.0.4-r0.apk
2025-09-11 02:50
28K
otf-atkinson-hyperlegible-2020.0514-r1.apk
2024-10-25 20:12
102K
otf-atkinson-hyperlegible-doc-2020.0514-r1.apk
2024-10-25 20:12
48K
otpclient-4.1.1-r0.apk
2025-07-22 22:44
130K
otpclient-doc-4.1.1-r0.apk
2025-07-22 22:44
3.6K
otrs-6.0.48-r2.apk
2024-10-25 20:12
29M
otrs-apache2-6.0.48-r2.apk
2024-10-25 20:12
4.0K
otrs-bash-completion-6.0.48-r2.apk
2024-10-25 20:12
2.4K
otrs-dev-6.0.48-r2.apk
2024-10-25 20:12
3.9M
otrs-doc-6.0.48-r2.apk
2024-10-25 20:12
795K
otrs-fastcgi-6.0.48-r2.apk
2024-10-25 20:12
1.8K
otrs-nginx-6.0.48-r2.apk
2024-10-25 20:12
1.8K
otrs-openrc-6.0.48-r2.apk
2024-10-25 20:12
1.9K
otrs-setup-6.0.48-r2.apk
2024-10-25 20:12
107K
ouch-0.6.1-r0.apk
2025-05-28 07:39
1.7M
ouch-bash-completion-0.6.1-r0.apk
2025-05-28 07:39
2.5K
ouch-doc-0.6.1-r0.apk
2025-05-28 07:39
3.8K
ouch-fish-completion-0.6.1-r0.apk
2025-05-28 07:39
3.0K
ouch-zsh-completion-0.6.1-r0.apk
2025-05-28 07:39
3.1K
ovhcloud-cli-0.9.0-r1.apk
2026-01-19 14:36
7.8M
ovn-25.09.2-r0.apk
2025-12-14 22:06
2.3M
ovn-dbg-25.09.2-r0.apk
2025-12-14 22:06
5.7M
ovn-dev-25.09.2-r0.apk
2025-12-14 22:06
1.5M
ovn-doc-25.09.2-r0.apk
2025-12-14 22:06
264K
ovn-openrc-25.09.2-r0.apk
2025-12-14 22:06
2.4K
ovos-audio-1.0.1-r0.apk
2025-07-15 20:03
136K
ovos-audio-pyc-1.0.1-r0.apk
2025-07-15 20:03
36K
ovos-core-2.1.0-r0.apk
2025-11-02 14:53
49K
ovos-core-pyc-2.1.0-r0.apk
2025-11-02 14:53
58K
ovos-gui-1.3.3-r0.apk
2025-07-15 20:03
38K
ovos-gui-pyc-1.3.3-r0.apk
2025-07-15 20:03
38K
ovos-messagebus-0.0.10-r0.apk
2025-04-08 07:43
10K
ovos-messagebus-pyc-0.0.10-r0.apk
2025-04-08 07:43
6.6K
ovos-phal-0.2.10-r0.apk
2025-07-15 19:29
10K
ovos-phal-pyc-0.2.10-r0.apk
2025-07-15 19:29
7.2K
ovos-skill-hello-world-0.0.4_alpha3-r1.apk
2024-10-25 20:12
46K
ovos-skill-hello-world-pyc-0.0.4_alpha3-r1.apk
2024-10-25 20:12
4.0K
ovpncc-0.1_rc1-r0.apk
2024-10-25 20:12
12K
ovpncc-doc-0.1_rc1-r0.apk
2024-10-25 20:12
6.5K
oxygen-icons-6.1.0-r0.apk
2024-10-25 20:12
32M
p0f-3.09b-r3.apk
2024-10-25 20:12
75K
p0f-doc-3.09b-r3.apk
2024-10-25 20:12
25K
p910nd-0.97-r2.apk
2024-10-25 20:12
8.7K
p910nd-doc-0.97-r2.apk
2024-10-25 20:12
3.0K
p910nd-openrc-0.97-r2.apk
2024-10-25 20:12
1.8K
packwiz-0_git20251102-r2.apk
2026-01-19 14:36
5.0M
packwiz-doc-0_git20251102-r2.apk
2026-01-19 14:36
2.3K
pacparser-1.4.5-r1.apk
2024-10-25 20:12
707K
pacparser-dev-1.4.5-r1.apk
2024-10-25 20:12
3.6K
pacparser-doc-1.4.5-r1.apk
2024-10-25 20:12
18K
paged-markdown-3-pdf-0.1.3-r0.apk
2025-05-28 14:24
482K
paged-markdown-3-pdf-bash-completion-0.1.3-r0.apk
2025-05-28 14:24
1.9K
paged-markdown-3-pdf-fish-completion-0.1.3-r0.apk
2025-05-28 14:24
1.8K
paged-markdown-3-pdf-zsh-completion-0.1.3-r0.apk
2025-05-28 14:24
1.9K
pam-krb5-4.11-r1.apk
2024-10-25 20:12
23K
pam-krb5-doc-4.11-r1.apk
2024-10-25 20:12
24K
pam-pkcs11-0.6.13-r1.apk
2025-11-17 13:00
262K
pam-pkcs11-doc-0.6.13-r1.apk
2025-11-17 13:00
14K
pam-pkcs11-systemd-0.6.13-r1.apk
2025-11-17 13:00
1.8K
pam_sqlite3-1.0.2-r2.apk
2024-10-25 20:12
8.8K
pamtester-0.1.2-r4.apk
2024-10-25 20:12
9.0K
pamtester-doc-0.1.2-r4.apk
2024-10-25 20:12
2.9K
pandora_box-0.18.0-r0.apk
2026-01-04 13:46
457K
paperde-0.3.0-r2.apk
2025-08-21 07:57
637K
paperde-dev-0.3.0-r2.apk
2025-08-21 07:57
5.0K
paperkey-1.6-r2.apk
2024-10-25 20:12
16K
paperkey-doc-1.6-r2.apk
2024-10-25 20:12
4.5K
paprefs-1.2-r2.apk
2024-11-22 22:04
33K
paprefs-lang-1.2-r2.apk
2024-11-22 22:04
38K
par-1.53.0-r1.apk
2024-10-25 20:12
14K
par-doc-1.53.0-r1.apk
2024-10-25 20:12
30K
par2cmdline-turbo-1.3.0-r0.apk
2025-05-08 12:18
282K
par2cmdline-turbo-doc-1.3.0-r0.apk
2025-05-08 12:18
5.9K
parse-changelog-0.6.12-r0.apk
2025-05-18 22:18
549K
pash-2.3.0-r2.apk
2024-10-25 20:12
4.3K
pasystray-0.8.2-r0.apk
2024-10-25 20:12
46K
pasystray-doc-0.8.2-r0.apk
2024-10-25 20:12
3.3K
pcem-17-r2.apk
2024-10-25 20:12
1.7M
pd-mapper-1.1-r0.apk
2026-01-13 00:02
11K
pd-mapper-doc-1.1-r0.apk
2026-01-13 00:02
2.5K
pd-mapper-openrc-1.1-r0.apk
2026-01-13 00:02
1.7K
pd-mapper-systemd-1.1-r0.apk
2026-01-13 00:02
1.7K
pdal-python-plugins-1.6.5-r0.apk
2025-06-21 21:50
272K
pdf2svg-0.2.4-r0.apk
2025-09-28 18:49
4.8K
pdfcrack-0.21-r0.apk
2025-11-19 08:08
32K
pebble-le-0.3.0-r2.apk
2024-12-14 19:46
66K
pebble-le-dev-0.3.0-r2.apk
2024-12-14 19:46
40K
pebble-le-doc-0.3.0-r2.apk
2024-12-14 19:46
3.7K
peervpn-0.044-r5.apk
2024-10-25 20:12
43K
peervpn-openrc-0.044-r5.apk
2024-10-25 20:12
1.8K
peg-0.1.18-r1.apk
2024-10-25 20:12
40K
peg-doc-0.1.18-r1.apk
2024-10-25 20:12
14K
pegasus-frontend-16_alpha-r0.apk
2024-10-25 20:12
1.2M
pegasus-frontend-doc-16_alpha-r0.apk
2024-10-25 20:12
16K
percona-toolkit-3.5.4-r1.apk
2024-10-25 20:12
1.8M
percona-toolkit-doc-3.5.4-r1.apk
2024-10-25 20:12
298K
perl-algorithm-c3-0.11-r1.apk
2024-10-25 20:12
5.7K
perl-algorithm-c3-doc-0.11-r1.apk
2024-10-25 20:12
5.1K
perl-algorithm-cron-0.10-r4.apk
2024-10-25 20:12
6.1K
perl-algorithm-cron-doc-0.10-r4.apk
2024-10-25 20:12
4.6K
perl-algorithm-evolutionary-0.82.1-r0.apk
2024-10-25 20:12
79K
perl-algorithm-evolutionary-doc-0.82.1-r0.apk
2024-10-25 20:12
148K
perl-aliased-0.34-r4.apk
2024-10-25 20:12
5.7K
perl-aliased-doc-0.34-r4.apk
2024-10-25 20:12
5.7K
perl-alien-base-modulebuild-1.17-r0.apk
2025-06-08 16:33
22K
perl-alien-base-modulebuild-doc-1.17-r0.apk
2025-06-08 16:33
53K
perl-alien-libgumbo-0.05-r1.apk
2025-07-01 19:19
578K
perl-alien-libgumbo-doc-0.05-r1.apk
2025-07-01 19:19
4.8K
perl-anyevent-dns-etchosts-0.0105-r0.apk
2024-10-25 20:12
5.1K
perl-anyevent-dns-etchosts-doc-0.0105-r0.apk
2024-10-25 20:12
4.0K
perl-archive-any-lite-0.11-r0.apk
2025-07-11 08:46
4.1K
perl-archive-any-lite-doc-0.11-r0.apk
2025-07-11 08:46
3.7K
perl-archive-extract-0.88-r1.apk
2024-10-25 20:12
16K
perl-archive-extract-doc-0.88-r1.apk
2024-10-25 20:12
6.8K
perl-array-diff-0.09-r0.apk
2025-07-10 02:27
3.3K
perl-array-diff-doc-0.09-r0.apk
2025-07-10 02:27
3.9K
perl-asa-1.04-r0.apk
2025-06-11 16:35
4.3K
perl-asa-doc-1.04-r0.apk
2025-06-11 16:35
5.0K
perl-autobox-3.0.2-r1.apk
2025-07-01 19:19
19K
perl-autobox-doc-3.0.2-r1.apk
2025-07-01 19:19
8.9K
perl-b-utils-0.27-r1.apk
2025-07-01 19:19
19K
perl-b-utils-doc-0.27-r1.apk
2025-07-01 19:19
9.5K
perl-badger-0.16-r1.apk
2025-11-11 23:13
253K
perl-badger-doc-0.16-r1.apk
2025-11-11 23:13
263K
perl-barcode-zbar-0.10-r4.apk
2025-07-01 19:19
31K
perl-barcode-zbar-doc-0.10-r4.apk
2025-07-01 19:19
13K
perl-bind-config-parser-0.01-r5.apk
2024-10-25 20:12
3.9K
perl-bind-config-parser-doc-0.01-r5.apk
2024-10-25 20:12
3.6K
perl-carp-assert-more-2.9.0-r0.apk
2025-04-13 14:57
9.0K
perl-carp-assert-more-doc-2.9.0-r0.apk
2025-04-13 14:57
7.8K
perl-carp-repl-0.18-r0.apk
2025-04-26 17:12
6.0K
perl-carp-repl-doc-0.18-r0.apk
2025-04-26 17:12
5.9K
perl-catalyst-action-renderview-0.17-r0.apk
2025-03-19 14:53
3.8K
perl-catalyst-action-renderview-doc-0.17-r0.apk
2025-03-19 14:53
4.0K
perl-catalyst-action-rest-1.21-r0.apk
2025-04-01 05:45
25K
perl-catalyst-action-rest-doc-1.21-r0.apk
2025-04-01 05:45
27K
perl-catalyst-actionrole-acl-0.07-r0.apk
2025-05-07 08:26
4.3K
perl-catalyst-actionrole-acl-doc-0.07-r0.apk
2025-05-07 08:26
5.7K
perl-catalyst-authentication-credential-http-1.018-r0.apk
2025-04-04 05:40
8.6K
perl-catalyst-authentication-credential-http-doc-1.018-r0.apk
2025-04-04 05:40
6.8K
perl-catalyst-authentication-store-dbix-class-0.1506-r0.apk
2025-06-11 16:36
13K
perl-catalyst-authentication-store-dbix-class-doc-0.1506-r0.apk
2025-06-11 16:36
14K
perl-catalyst-component-instancepercontext-0.001001-r1.apk
2025-06-19 10:55
2.7K
perl-catalyst-component-instancepercontext-doc-0.001001-r1.apk
2025-06-19 10:55
3.3K
perl-catalyst-controller-actionrole-0.17-r0.apk
2025-04-04 05:40
5.0K
perl-catalyst-controller-actionrole-doc-0.17-r0.apk
2025-04-04 05:40
4.4K
perl-catalyst-devel-1.42-r0.apk
2025-03-20 16:04
54K
perl-catalyst-devel-doc-1.42-r0.apk
2025-03-20 16:04
11K
perl-catalyst-manual-5.9013-r0.apk
2025-04-04 05:22
2.7K
perl-catalyst-manual-doc-5.9013-r0.apk
2025-04-04 05:22
375K
perl-catalyst-model-adaptor-0.10-r0.apk
2025-04-13 14:57
6.5K
perl-catalyst-model-adaptor-doc-0.10-r0.apk
2025-04-13 14:57
12K
perl-catalyst-model-dbic-schema-0.66-r0.apk
2025-06-11 16:36
19K
perl-catalyst-model-dbic-schema-doc-0.66-r0.apk
2025-06-11 16:36
18K
perl-catalyst-plugin-authentication-0.10024-r0.apk
2025-03-29 15:58
32K
perl-catalyst-plugin-authentication-doc-0.10024-r0.apk
2025-03-29 15:58
56K
perl-catalyst-plugin-configloader-0.35-r0.apk
2025-03-20 16:04
5.4K
perl-catalyst-plugin-configloader-doc-0.35-r0.apk
2025-03-20 16:04
10K
perl-catalyst-plugin-i18n-0.10-r0.apk
2025-04-04 08:55
4.1K
perl-catalyst-plugin-i18n-doc-0.10-r0.apk
2025-04-04 08:55
13K
perl-catalyst-plugin-session-0.43-r0.apk
2025-04-04 11:57
14K
perl-catalyst-plugin-session-doc-0.43-r0.apk
2025-04-04 11:57
25K
perl-catalyst-plugin-session-state-cookie-0.18-r0.apk
2025-04-20 04:32
4.9K
perl-catalyst-plugin-session-state-cookie-doc-0.18-r0.apk
2025-04-20 04:32
4.8K
perl-catalyst-plugin-session-store-dbic-0.14-r0.apk
2025-06-13 18:18
5.9K
perl-catalyst-plugin-session-store-dbic-doc-0.14-r0.apk
2025-06-13 18:18
6.4K
perl-catalyst-plugin-session-store-delegate-0.06-r0.apk
2025-06-13 18:18
4.5K
perl-catalyst-plugin-session-store-delegate-doc-0.06-r0.apk
2025-06-13 18:18
4.5K
perl-catalyst-plugin-session-store-file-0.18-r0.apk
2025-06-14 02:46
3.6K
perl-catalyst-plugin-session-store-file-doc-0.18-r0.apk
2025-06-14 02:46
4.0K
perl-catalyst-plugin-stacktrace-0.12-r0.apk
2025-06-14 02:46
4.7K
perl-catalyst-plugin-stacktrace-doc-0.12-r0.apk
2025-06-14 02:46
3.9K
perl-catalyst-plugin-static-simple-0.37-r0.apk
2025-03-20 16:04
8.7K
perl-catalyst-plugin-static-simple-doc-0.37-r0.apk
2025-03-20 16:04
7.4K
perl-catalyst-runtime-5.90132-r0.apk
2025-03-19 11:46
150K
perl-catalyst-runtime-doc-5.90132-r0.apk
2025-03-19 11:46
216K
perl-catalyst-view-email-0.36-r0.apk
2025-04-04 08:55
9.1K
perl-catalyst-view-email-doc-0.36-r0.apk
2025-04-04 08:55
11K
perl-catalyst-view-tt-0.46-r0.apk
2025-04-12 15:51
14K
perl-catalyst-view-tt-doc-0.46-r0.apk
2025-04-12 15:51
13K
perl-catalystx-component-traits-0.19-r0.apk
2025-05-07 08:26
4.3K
perl-catalystx-component-traits-doc-0.19-r0.apk
2025-05-07 08:26
4.0K
perl-catalystx-injectcomponent-0.025-r0.apk
2025-05-01 06:39
3.4K
perl-catalystx-injectcomponent-doc-0.025-r0.apk
2025-05-01 06:39
3.6K
perl-catalystx-leakchecker-0.06-r0.apk
2025-06-15 04:48
3.4K
perl-catalystx-leakchecker-doc-0.06-r0.apk
2025-06-15 04:48
3.5K
perl-catalystx-profile-0.02-r0.apk
2025-06-15 04:48
3.1K
perl-catalystx-profile-doc-0.02-r0.apk
2025-06-15 04:48
4.5K
perl-catalystx-repl-0.04-r0.apk
2025-04-27 15:07
3.3K
perl-catalystx-repl-doc-0.04-r0.apk
2025-04-27 15:07
3.6K
perl-catalystx-simplelogin-0.21-r0.apk
2025-05-07 08:26
11K
perl-catalystx-simplelogin-doc-0.21-r0.apk
2025-05-07 08:26
24K
perl-cgi-expand-2.05-r4.apk
2024-10-25 20:12
6.9K
perl-cgi-expand-doc-2.05-r4.apk
2024-10-25 20:12
6.2K
perl-cgi-simple-1.282-r0.apk
2025-08-30 04:17
56K
perl-cgi-simple-doc-1.282-r0.apk
2025-08-30 04:17
43K
perl-cgi-struct-1.21-r0.apk
2025-03-14 16:31
7.8K
perl-cgi-struct-doc-1.21-r0.apk
2025-03-14 16:31
6.8K
perl-class-accessor-grouped-0.10014-r2.apk
2024-10-25 20:12
12K
perl-class-accessor-grouped-doc-0.10014-r2.apk
2024-10-25 20:12
7.5K
perl-class-c3-0.35-r1.apk
2024-10-25 20:12
9.5K
perl-class-c3-adopt-next-0.14-r0.apk
2025-03-14 16:31
5.1K
perl-class-c3-adopt-next-doc-0.14-r0.apk
2025-03-14 16:31
4.7K
perl-class-c3-componentised-1.001002-r2.apk
2024-10-25 20:12
5.5K
perl-class-c3-componentised-doc-1.001002-r2.apk
2024-10-25 20:12
5.3K
perl-class-c3-doc-0.35-r1.apk
2024-10-25 20:12
9.3K
perl-class-unload-0.11-r0.apk
2025-06-04 17:22
2.6K
perl-class-unload-doc-0.11-r0.apk
2025-06-04 17:22
3.1K
perl-cli-osprey-0.08-r0.apk
2024-12-28 04:54
13K
perl-cli-osprey-doc-0.08-r0.apk
2024-12-28 04:54
12K
perl-clipboard-0.32-r1.apk
2025-10-13 16:06
10K
perl-clipboard-doc-0.32-r1.apk
2025-10-13 16:06
27K
perl-conf-libconfig-1.0.3-r2.apk
2025-07-01 19:19
26K
perl-conf-libconfig-doc-1.0.3-r2.apk
2025-07-01 19:19
5.5K
perl-constant-defer-6-r5.apk
2024-10-25 20:12
7.4K
perl-constant-defer-doc-6-r5.apk
2024-10-25 20:12
7.0K
perl-constant-generate-0.17-r5.apk
2024-10-25 20:12
8.8K
perl-constant-generate-doc-0.17-r5.apk
2024-10-25 20:12
7.0K
perl-context-preserve-0.03-r4.apk
2024-10-25 20:12
3.9K
perl-context-preserve-doc-0.03-r4.apk
2024-10-25 20:12
4.2K
perl-cpan-audit-20250829.001-r0.apk
2025-09-06 20:30
14K
perl-cpan-audit-doc-20250829.001-r0.apk
2025-09-06 20:30
12K
perl-cpan-changes-0.500005-r0.apk
2025-08-16 14:16
13K
perl-cpan-changes-doc-0.500005-r0.apk
2025-08-16 14:16
18K
perl-cpansa-db-20250807.001-r0.apk
2025-09-01 13:16
1.3M
perl-cpansa-db-doc-20250807.001-r0.apk
2025-09-01 13:16
5.6K
perl-crypt-blowfish-2.14-r1.apk
2025-07-01 19:19
13K
perl-crypt-blowfish-doc-2.14-r1.apk
2025-07-01 19:19
4.1K
perl-crypt-saltedhash-0.09-r5.apk
2024-10-25 20:12
7.0K
perl-crypt-saltedhash-doc-0.09-r5.apk
2024-10-25 20:12
6.4K
perl-css-inliner-4027-r0.apk
2025-06-16 11:51
16K
perl-css-inliner-doc-4027-r0.apk
2025-06-16 11:51
9.4K
perl-daemon-control-0.001010-r2.apk
2024-10-25 20:12
12K
perl-daemon-control-doc-0.001010-r2.apk
2024-10-25 20:12
8.3K
perl-dancer-plugin-auth-extensible-1.00-r5.apk
2024-10-25 20:12
15K
perl-dancer-plugin-auth-extensible-doc-1.00-r5.apk
2024-10-25 20:12
15K
perl-dancer-plugin-dbic-0.2104-r5.apk
2024-10-25 20:12
4.9K
perl-dancer-plugin-dbic-doc-0.2104-r5.apk
2024-10-25 20:12
5.4K
perl-dancer-plugin-passphrase-2.0.1-r4.apk
2024-10-25 20:12
9.7K
perl-dancer-plugin-passphrase-doc-2.0.1-r4.apk
2024-10-25 20:12
8.5K
perl-dancer-session-cookie-0.30-r2.apk
2024-10-25 20:12
5.5K
perl-dancer-session-cookie-doc-0.30-r2.apk
2024-10-25 20:12
4.2K
perl-dancer2-2.0.1-r0.apk
2026-01-24 10:29
148K
perl-dancer2-doc-2.0.1-r0.apk
2026-01-24 10:29
319K
perl-data-binary-0.01-r0.apk
2025-07-10 02:27
2.8K
perl-data-binary-doc-0.01-r0.apk
2025-07-10 02:27
3.1K
perl-data-censor-0.04-r0.apk
2026-01-23 00:42
4.9K
perl-data-censor-doc-0.04-r0.apk
2026-01-23 00:42
5.0K
perl-data-clone-0.006-r1.apk
2025-07-01 19:19
11K
perl-data-clone-doc-0.006-r1.apk
2025-07-01 19:19
4.5K
perl-data-dump-streamer-2.42-r1.apk
2025-07-01 19:19
51K
perl-data-dump-streamer-doc-2.42-r1.apk
2025-07-01 19:19
17K
perl-data-section-0.200008-r0.apk
2025-07-07 17:02
6.4K
perl-data-section-doc-0.200008-r0.apk
2025-07-07 17:02
5.6K
perl-data-validate-ip-0.31-r1.apk
2024-10-25 20:12
8.8K
perl-data-validate-ip-doc-0.31-r1.apk
2024-10-25 20:12
5.9K
perl-data-visitor-0.32-r0.apk
2025-03-19 14:53
9.8K
perl-data-visitor-doc-0.32-r0.apk
2025-03-19 14:53
8.3K
perl-database-async-engine-postgresql-1.005-r0.apk
2024-10-25 20:12
14K
perl-database-async-engine-postgresql-doc-1.005-r0.apk
2024-10-25 20:12
9.3K
perl-date-range-1.41-r0.apk
2025-07-08 16:53
3.8K
perl-date-range-doc-1.41-r0.apk
2025-07-08 16:53
4.0K
perl-dbicx-sugar-0.0200-r5.apk
2024-10-25 20:12
5.9K
perl-dbicx-sugar-doc-0.0200-r5.apk
2024-10-25 20:12
5.3K
perl-dbix-class-0.082844-r0.apk
2025-01-16 17:27
355K
perl-dbix-class-candy-0.005004-r0.apk
2024-10-30 06:00
7.9K
perl-dbix-class-candy-doc-0.005004-r0.apk
2024-10-30 06:00
9.5K
perl-dbix-class-cursor-cached-1.001004-r0.apk
2025-06-09 16:28
3.2K
perl-dbix-class-cursor-cached-doc-1.001004-r0.apk
2025-06-09 16:28
3.0K
perl-dbix-class-doc-0.082844-r0.apk
2025-01-16 17:27
421K
perl-dbix-class-helpers-2.037000-r0.apk
2024-11-18 18:00
48K
perl-dbix-class-helpers-doc-2.037000-r0.apk
2024-11-18 18:00
121K
perl-dbix-class-schema-loader-0.07053-r0.apk
2025-06-09 16:28
97K
perl-dbix-class-schema-loader-doc-0.07053-r0.apk
2025-06-09 16:28
77K
perl-dbix-datasource-0.02-r5.apk
2024-10-25 20:12
4.3K
perl-dbix-datasource-doc-0.02-r5.apk
2024-10-25 20:12
7.5K
perl-dbix-introspector-0.001005-r4.apk
2024-10-25 20:12
8.1K
perl-dbix-introspector-doc-0.001005-r4.apk
2024-10-25 20:12
8.5K
perl-dbix-lite-0.36-r0.apk
2024-12-30 06:37
18K
perl-dbix-lite-doc-0.36-r0.apk
2024-12-30 06:37
18K
perl-devel-leak-0.03-r14.apk
2025-07-01 19:19
7.2K
perl-devel-leak-doc-0.03-r14.apk
2025-07-01 19:19
3.3K
perl-devel-nytprof-6.14-r1.apk
2025-07-01 19:19
401K
perl-devel-nytprof-doc-6.14-r1.apk
2025-07-01 19:19
51K
perl-devel-repl-1.003029-r0.apk
2025-04-24 14:50
28K
perl-devel-repl-doc-1.003029-r0.apk
2025-04-24 14:50
60K
perl-devel-stacktrace-withlexicals-2.01-r0.apk
2025-04-26 10:21
3.7K
perl-devel-stacktrace-withlexicals-doc-2.01-r0.apk
2025-04-26 10:21
3.4K
perl-devel-trace-0.12-r0.apk
2025-07-06 19:08
3.4K
perl-devel-trace-doc-0.12-r0.apk
2025-07-06 19:08
3.5K
perl-digest-bcrypt-1.212-r1.apk
2024-10-25 20:12
5.6K
perl-digest-bcrypt-doc-1.212-r1.apk
2024-10-25 20:12
5.2K
perl-email-abstract-3.010-r0.apk
2024-10-25 20:12
7.7K
perl-email-abstract-doc-3.010-r0.apk
2024-10-25 20:12
13K
perl-email-mime-attachment-stripper-1.317-r5.apk
2024-10-25 20:12
4.0K
perl-email-mime-attachment-stripper-doc-1.317-r5.apk
2024-10-25 20:12
3.8K
perl-email-reply-1.204-r5.apk
2024-10-25 20:12
6.1K
perl-email-reply-doc-1.204-r5.apk
2024-10-25 20:12
4.8K
perl-email-sender-2.601-r0.apk
2025-04-04 08:55
25K
perl-email-sender-doc-2.601-r0.apk
2025-04-04 08:55
42K
perl-encode-detect-1.01-r1.apk
2025-07-01 19:19
73K
perl-encode-detect-doc-1.01-r1.apk
2025-07-01 19:19
4.8K
perl-expect-1.38-r0.apk
2025-04-19 19:01
32K
perl-expect-doc-1.38-r0.apk
2025-04-19 19:01
20K
perl-expect-simple-0.04-r0.apk
2025-04-20 04:38
5.5K
perl-expect-simple-doc-0.04-r0.apk
2025-04-20 04:38
4.9K
perl-extutils-makemaker-7.76-r0.apk
2025-08-16 14:19
174K
perl-extutils-xsbuilder-0.28-r5.apk
2024-10-25 20:12
43K
perl-extutils-xsbuilder-doc-0.28-r5.apk
2024-10-25 20:12
21K
perl-ffi-c-0.15-r0.apk
2024-10-25 20:12
20K
perl-ffi-c-doc-0.15-r0.apk
2024-10-25 20:12
29K
perl-ffi-platypus-2.11-r0.apk
2026-01-13 06:12
181K
perl-ffi-platypus-doc-2.11-r0.apk
2026-01-13 06:12
148K
perl-ffi-platypus-type-enum-0.06-r0.apk
2024-10-25 20:12
5.2K
perl-ffi-platypus-type-enum-doc-0.06-r0.apk
2024-10-25 20:12
5.2K
perl-file-changenotify-0.31-r0.apk
2025-03-20 16:04
12K
perl-file-changenotify-doc-0.31-r0.apk
2025-03-20 16:04
14K
perl-file-find-object-0.3.9-r0.apk
2025-07-11 16:50
9.2K
perl-file-find-object-doc-0.3.9-r0.apk
2025-07-11 16:50
13K
perl-file-mmagic-xs-0.09008-r5.apk
2025-07-01 19:19
31K
perl-file-mmagic-xs-doc-0.09008-r5.apk
2025-07-01 19:19
4.3K
perl-file-rename-2.02-r0.apk
2024-10-25 20:12
7.5K
perl-file-rename-doc-2.02-r0.apk
2024-10-25 20:12
12K
perl-file-treecreate-0.0.1-r0.apk
2025-07-11 08:46
4.0K
perl-file-treecreate-doc-0.0.1-r0.apk
2025-07-11 08:46
4.4K
perl-finance-quote-1.68-r0.apk
2025-12-22 10:54
114K
perl-finance-quote-doc-1.68-r0.apk
2025-12-22 10:54
90K
perl-flowd-0.9.1-r11.apk
2025-07-01 19:19
22K
perl-flowd-doc-0.9.1-r11.apk
2025-07-01 19:19
3.3K
perl-freezethaw-0.5001-r3.apk
2025-10-18 10:32
9.8K
perl-freezethaw-doc-0.5001-r3.apk
2025-10-18 10:32
5.7K
perl-full-1.004-r0.apk
2024-10-25 20:12
7.1K
perl-full-doc-1.004-r0.apk
2024-10-25 20:12
10K
perl-getopt-long-descriptive-0.116-r0.apk
2024-12-31 09:40
15K
perl-getopt-long-descriptive-doc-0.116-r0.apk
2024-12-31 09:40
11K
perl-getopt-tabular-0.3-r4.apk
2024-10-25 20:12
23K
perl-getopt-tabular-doc-0.3-r4.apk
2024-10-25 20:12
17K
perl-hash-merge-extra-0.06-r0.apk
2025-06-20 15:18
3.1K
perl-hash-merge-extra-doc-0.06-r0.apk
2025-06-20 15:18
3.4K
perl-html-formatexternal-26-r0.apk
2025-06-07 14:55
17K
perl-html-formatexternal-doc-26-r0.apk
2025-06-07 14:55
23K
perl-html-formhandler-0.40068-r0.apk
2025-05-01 06:39
135K
perl-html-formhandler-doc-0.40068-r0.apk
2025-05-01 06:39
323K
perl-html-gumbo-0.18-r2.apk
2025-07-01 19:19
15K
perl-html-gumbo-doc-0.18-r2.apk
2025-07-01 19:19
5.4K
perl-html-query-0.09-r0.apk
2025-06-16 11:51
14K
perl-html-query-doc-0.09-r0.apk
2025-06-16 11:51
10K
perl-html-tableextract-2.15-r4.apk
2024-10-25 20:12
18K
perl-html-tableextract-doc-2.15-r4.apk
2024-10-25 20:12
9.9K
perl-html-treebuilder-xpath-0.14-r0.apk
2025-07-10 02:27
7.8K
perl-html-treebuilder-xpath-doc-0.14-r0.apk
2025-07-10 02:27
4.2K
perl-http-headers-actionpack-0.09-r0.apk
2025-06-13 18:17
18K
perl-http-headers-actionpack-doc-0.09-r0.apk
2025-06-13 18:17
40K
perl-i18n-langinfo-wide-9-r4.apk
2024-10-25 20:12
4.2K
perl-i18n-langinfo-wide-doc-9-r4.apk
2024-10-25 20:12
4.1K
perl-imager-1.028-r1.apk
2025-07-01 19:19
550K
perl-imager-doc-1.028-r1.apk
2025-07-01 19:19
286K
perl-io-handle-util-0.02-r0.apk
2025-06-11 16:35
11K
perl-io-handle-util-doc-0.02-r0.apk
2025-06-11 16:35
9.8K
perl-io-interactive-1.027-r0.apk
2025-09-01 13:16
5.3K
perl-io-interactive-doc-1.027-r0.apk
2025-09-01 13:16
5.2K
perl-io-sessiondata-1.03-r3.apk
2024-10-25 20:12
5.8K
perl-json-validator-5.15-r0.apk
2025-03-19 11:46
58K
perl-json-validator-doc-5.15-r0.apk
2025-03-19 11:46
34K
perl-lexical-persistence-1.023-r0.apk
2025-04-26 08:13
7.5K
perl-lexical-persistence-doc-1.023-r0.apk
2025-04-26 08:13
7.6K
perl-libapreq2-2.17-r3.apk
2025-07-01 19:19
105K
perl-libapreq2-dev-2.17-r3.apk
2025-07-01 19:19
56K
perl-libapreq2-doc-2.17-r3.apk
2025-07-01 19:19
37K
perl-libintl-perl-1.35-r0.apk
2025-01-16 13:35
305K
perl-libintl-perl-doc-1.35-r0.apk
2025-01-16 13:35
571K
perl-lingua-en-findnumber-1.32-r0.apk
2025-06-08 16:33
3.3K
perl-lingua-en-findnumber-doc-1.32-r0.apk
2025-06-08 16:33
3.5K
perl-lingua-en-inflect-number-1.12-r0.apk
2025-06-08 16:33
3.2K
perl-lingua-en-inflect-number-doc-1.12-r0.apk
2025-06-08 16:33
3.6K
perl-lingua-en-inflect-phrase-0.20-r0.apk
2025-06-09 12:06
5.3K
perl-lingua-en-inflect-phrase-doc-0.20-r0.apk
2025-06-09 12:06
3.9K
perl-lingua-en-number-isordinal-0.05-r0.apk
2025-06-08 16:33
3.1K
perl-lingua-en-number-isordinal-doc-0.05-r0.apk
2025-06-08 16:33
3.4K
perl-lingua-en-tagger-0.31-r0.apk
2025-06-08 16:33
546K
perl-lingua-en-tagger-doc-0.31-r0.apk
2025-06-08 16:33
4.5K
perl-lingua-en-words2nums-0.18-r0.apk
2025-06-07 14:55
4.6K
perl-lingua-en-words2nums-doc-0.18-r0.apk
2025-06-07 14:55
3.5K
perl-lingua-pt-stemmer-0.02-r0.apk
2025-06-07 02:00
5.4K
perl-lingua-pt-stemmer-doc-0.02-r0.apk
2025-06-07 02:00
4.3K
perl-lingua-stem-2.31-r0.apk
2025-06-08 16:33
12K
perl-lingua-stem-doc-2.31-r0.apk
2025-06-08 16:33
34K
perl-lingua-stem-fr-0.02-r0.apk
2025-06-06 14:57
6.1K
perl-lingua-stem-fr-doc-0.02-r0.apk
2025-06-06 14:57
3.9K
perl-lingua-stem-it-0.02-r0.apk
2025-06-06 14:57
5.2K
perl-lingua-stem-it-doc-0.02-r0.apk
2025-06-06 14:57
3.5K
perl-lingua-stem-ru-0.04-r0.apk
2025-06-06 14:57
4.1K
perl-lingua-stem-ru-doc-0.04-r0.apk
2025-06-06 14:57
3.7K
perl-lingua-stem-snowball-da-1.01-r0.apk
2025-06-08 16:33
4.3K
perl-lingua-stem-snowball-da-doc-1.01-r0.apk
2025-06-08 16:33
3.0K
perl-linux-pid-0.04-r15.apk
2025-07-01 19:19
4.9K
perl-linux-pid-doc-0.04-r15.apk
2025-07-01 19:19
3.1K
perl-log-fu-0.31-r4.apk
2024-10-25 20:12
11K
perl-log-fu-doc-0.31-r4.apk
2024-10-25 20:12
7.3K
perl-log-message-0.08-r3.apk
2024-10-25 20:12
11K
perl-log-message-doc-0.08-r3.apk
2024-10-25 20:12
12K
perl-log-message-simple-0.10-r3.apk
2024-10-25 20:12
4.2K
perl-log-message-simple-doc-0.10-r3.apk
2024-10-25 20:12
4.0K
perl-lwp-useragent-cached-0.08-r1.apk
2024-10-25 20:12
6.4K
perl-lwp-useragent-cached-doc-0.08-r1.apk
2024-10-25 20:12
5.7K
perl-math-int64-0.57-r2.apk
2025-07-01 19:19
35K
perl-math-int64-doc-0.57-r2.apk
2025-07-01 19:19
11K
perl-math-libm-1.00-r15.apk
2025-07-01 19:19
11K
perl-math-libm-doc-1.00-r15.apk
2025-07-01 19:19
3.2K
perl-memoize-expirelru-0.56-r0.apk
2025-06-08 16:33
6.2K
perl-memoize-expirelru-doc-0.56-r0.apk
2025-06-08 16:33
3.8K
perl-module-cpants-analyse-1.02-r0.apk
2025-07-12 13:43
27K
perl-module-cpants-analyse-doc-1.02-r0.apk
2025-07-12 13:43
28K
perl-module-extract-version-1.119-r0.apk
2025-09-06 20:30
3.2K
perl-module-extract-version-doc-1.119-r0.apk
2025-09-06 20:30
3.5K
perl-module-path-0.19-r0.apk
2025-06-08 16:33
4.7K
perl-module-path-doc-0.19-r0.apk
2025-06-08 16:33
5.8K
perl-mojolicious-plugin-openapi-5.11-r0.apk
2025-03-19 11:46
28K
perl-mojolicious-plugin-openapi-doc-5.11-r0.apk
2025-03-19 11:46
33K
perl-moosex-configfromfile-0.14-r0.apk
2025-03-16 04:04
4.1K
perl-moosex-configfromfile-doc-0.14-r0.apk
2025-03-16 04:04
4.2K
perl-moosex-emulate-class-accessor-fast-0.009032-r0.apk
2025-03-16 04:04
5.4K
perl-moosex-emulate-class-accessor-fast-doc-0.009032-r0.apk
2025-03-16 04:04
5.3K
perl-moosex-getopt-0.78-r0.apk
2025-03-16 04:04
14K
perl-moosex-getopt-doc-0.78-r0.apk
2025-03-16 04:04
25K
perl-moosex-markasmethods-0.15-r0.apk
2025-06-04 17:22
5.1K
perl-moosex-markasmethods-doc-0.15-r0.apk
2025-06-04 17:22
5.2K
perl-moosex-methodattributes-0.32-r0.apk
2025-03-19 11:46
8.6K
perl-moosex-methodattributes-doc-0.32-r0.apk
2025-03-19 11:46
22K
perl-moosex-nonmoose-0.27-r1.apk
2025-06-19 10:55
8.2K
perl-moosex-nonmoose-doc-0.27-r1.apk
2025-06-19 10:55
9.4K
perl-moosex-object-pluggable-0.0014-r0.apk
2025-04-21 17:33
6.0K
perl-moosex-object-pluggable-doc-0.0014-r0.apk
2025-04-21 17:33
5.5K
perl-moosex-relatedclassroles-0.004-r0.apk
2025-05-01 06:39
2.8K
perl-moosex-relatedclassroles-doc-0.004-r0.apk
2025-05-01 06:39
3.3K
perl-moosex-role-parameterized-1.11-r0.apk
2025-03-15 14:08
7.7K
perl-moosex-role-parameterized-doc-1.11-r0.apk
2025-03-15 14:08
24K
perl-moosex-simpleconfig-0.11-r0.apk
2025-03-16 04:04
3.8K
perl-moosex-simpleconfig-doc-0.11-r0.apk
2025-03-16 04:04
4.2K
perl-moosex-traits-pluggable-0.12-r0.apk
2025-05-01 06:39
4.9K
perl-moosex-traits-pluggable-doc-0.12-r0.apk
2025-05-01 06:39
4.2K
perl-moosex-types-loadableclass-0.016-r0.apk
2025-04-30 16:21
3.2K
perl-moosex-types-loadableclass-doc-0.016-r0.apk
2025-04-30 16:21
3.7K
perl-moosex-types-path-tiny-0.012-r0.apk
2025-03-15 14:08
4.0K
perl-moosex-types-path-tiny-doc-0.012-r0.apk
2025-03-15 14:08
4.1K
perl-moosex-types-stringlike-0.003-r0.apk
2025-03-15 14:08
3.0K
perl-moosex-types-stringlike-doc-0.003-r0.apk
2025-03-15 14:08
3.6K
perl-moox-typetiny-0.002003-r0.apk
2025-06-09 12:05
3.5K
perl-moox-typetiny-doc-0.002003-r0.apk
2025-06-09 12:05
3.2K
perl-musicbrainz-discid-0.06-r2.apk
2025-07-01 19:19
9.5K
perl-musicbrainz-discid-doc-0.06-r2.apk
2025-07-01 19:19
4.4K
perl-net-amqp-rabbitmq-2.40014-r1.apk
2025-11-20 23:47
86K
perl-net-amqp-rabbitmq-doc-2.40014-r1.apk
2025-11-20 23:47
11K
perl-net-async-redis-6.006-r0.apk
2024-12-11 16:22
58K
perl-net-async-redis-doc-6.006-r0.apk
2024-12-11 16:22
66K
perl-net-async-redis-xs-1.001-r2.apk
2025-07-01 19:19
9.8K
perl-net-async-redis-xs-doc-1.001-r2.apk
2025-07-01 19:19
5.4K
perl-net-curl-0.58-r0.apk
2026-01-19 14:36
63K
perl-net-curl-doc-0.58-r0.apk
2026-01-19 14:36
39K
perl-net-curl-promiser-0.20-r0.apk
2024-10-25 20:12
8.9K
perl-net-curl-promiser-anyevent-0.20-r0.apk
2024-10-25 20:12
2.7K
perl-net-curl-promiser-doc-0.20-r0.apk
2024-10-25 20:12
12K
perl-net-curl-promiser-ioasync-0.20-r0.apk
2024-10-25 20:12
3.0K
perl-net-curl-promiser-mojo-0.20-r0.apk
2024-10-25 20:12
3.1K
perl-net-irr-0.10-r0.apk
2024-10-25 20:12
5.5K
perl-net-irr-doc-0.10-r0.apk
2024-10-25 20:12
5.2K
perl-net-patricia-1.24-r0.apk
2025-11-20 15:48
22K
perl-net-patricia-doc-1.24-r0.apk
2025-11-20 15:48
6.3K
perl-netaddr-mac-0.99-r0.apk
2025-12-21 07:46
10K
perl-netaddr-mac-doc-0.99-r0.apk
2025-12-21 07:46
8.1K
perl-number-format-1.76-r1.apk
2024-10-25 20:12
15K
perl-number-format-doc-1.76-r1.apk
2024-10-25 20:12
9.0K
perl-number-tolerant-1.710-r0.apk
2024-10-25 20:12
15K
perl-number-tolerant-doc-1.710-r0.apk
2024-10-25 20:12
26K
perl-object-signature-1.08-r0.apk
2025-04-04 11:57
3.7K
perl-object-signature-doc-1.08-r0.apk
2025-04-04 11:57
5.4K
perl-openapi-client-1.07-r0.apk
2024-10-25 20:12
8.6K
perl-openapi-client-doc-1.07-r0.apk
2024-10-25 20:12
7.4K
perl-pango-1.227-r12.apk
2025-07-01 19:19
85K
perl-pango-doc-1.227-r12.apk
2025-07-01 19:19
82K
perl-parse-distname-0.05-r0.apk
2025-07-11 08:46
5.5K
perl-parse-distname-doc-0.05-r0.apk
2025-07-11 08:46
4.4K
perl-path-dispatcher-1.08-r0.apk
2025-06-09 12:05
14K
perl-path-dispatcher-doc-1.08-r0.apk
2025-06-09 12:05
38K
perl-path-iter-0.2-r3.apk
2024-10-25 20:12
5.2K
perl-path-iter-doc-0.2-r3.apk
2024-10-25 20:12
5.2K
perl-perlio-locale-0.10-r13.apk
2025-07-01 19:19
4.6K
perl-perlio-locale-doc-0.10-r13.apk
2025-07-01 19:19
3.1K
perl-plack-middleware-expires-0.06-r3.apk
2024-10-25 20:12
3.9K
perl-plack-middleware-expires-doc-0.06-r3.apk
2024-10-25 20:12
3.4K
perl-plack-middleware-fixmissingbodyinredirect-0.12-r0.apk
2024-12-26 09:36
3.1K
perl-plack-middleware-fixmissingbodyinredirect-doc-0.12-r0.apk
2024-12-26 09:36
3.1K
perl-plack-middleware-methodoverride-0.20-r0.apk
2025-03-19 11:46
3.6K
perl-plack-middleware-methodoverride-doc-0.20-r0.apk
2025-03-19 11:46
4.0K
perl-plack-middleware-removeredundantbody-0.09-r0.apk
2024-12-27 14:02
2.5K
perl-plack-middleware-removeredundantbody-doc-0.09-r0.apk
2024-12-27 14:02
3.1K
perl-plack-middleware-reverseproxy-0.16-r2.apk
2024-10-25 20:12
3.2K
perl-plack-middleware-reverseproxy-doc-0.16-r2.apk
2024-10-25 20:12
3.1K
perl-plack-test-externalserver-0.02-r0.apk
2025-03-19 11:46
2.8K
perl-plack-test-externalserver-doc-0.02-r0.apk
2025-03-19 11:46
3.1K
perl-pod-cpandoc-0.16-r6.apk
2024-10-25 20:12
4.6K
perl-pod-cpandoc-doc-0.16-r6.apk
2024-10-25 20:12
4.9K
perl-pod-tidy-0.10-r1.apk
2024-10-25 20:12
10K
perl-pod-tidy-doc-0.10-r1.apk
2024-10-25 20:12
11K
perl-prereqscanner-notquitelite-0.9917-r0.apk
2025-07-12 13:43
41K
perl-prereqscanner-notquitelite-doc-0.9917-r0.apk
2025-07-12 13:43
49K
perl-regexp-trie-0.02-r0.apk
2025-07-12 07:22
3.0K
perl-regexp-trie-doc-0.02-r0.apk
2025-07-12 07:22
3.3K
perl-session-storage-secure-1.000-r2.apk
2024-10-25 20:12
8.8K
perl-session-storage-secure-doc-1.000-r2.apk
2024-10-25 20:12
7.4K
perl-shell-config-generate-0.34-r0.apk
2025-06-08 16:33
7.8K
perl-shell-config-generate-doc-0.34-r0.apk
2025-06-08 16:33
6.8K
perl-shell-guess-0.10-r0.apk
2025-06-07 14:55
6.0K
perl-shell-guess-doc-0.10-r0.apk
2025-06-07 14:55
5.8K
perl-smart-comments-1.06-r0.apk
2025-07-07 17:02
12K
perl-smart-comments-doc-1.06-r0.apk
2025-07-07 17:02
8.5K
perl-snmp-5.0404-r14.apk
2025-07-01 19:19
74K
perl-snmp-doc-5.0404-r14.apk
2025-07-01 19:19
14K
perl-snmp-info-3.974000-r0.apk
2025-09-12 04:49
337K
perl-snmp-info-doc-3.974000-r0.apk
2025-09-12 04:49
390K
perl-snowball-norwegian-1.2-r0.apk
2025-06-08 16:33
5.3K
perl-snowball-norwegian-doc-1.2-r0.apk
2025-06-08 16:33
3.9K
perl-snowball-swedish-1.2-r0.apk
2025-06-08 16:33
5.2K
perl-snowball-swedish-doc-1.2-r0.apk
2025-06-08 16:33
3.9K
perl-soap-lite-1.27-r5.apk
2024-10-25 20:12
110K
perl-soap-lite-doc-1.27-r5.apk
2024-10-25 20:12
90K
perl-software-license-0.104007-r0.apk
2025-07-08 16:53
107K
perl-software-license-doc-0.104007-r0.apk
2025-07-08 16:53
50K
perl-sort-naturally-1.03-r4.apk
2024-10-25 20:12
8.7K
perl-sort-naturally-doc-1.03-r4.apk
2024-10-25 20:12
5.5K
perl-sql-abstract-classic-1.91-r1.apk
2024-10-25 20:12
30K
perl-sql-abstract-classic-doc-1.91-r1.apk
2024-10-25 20:12
20K
perl-sql-abstract-more-1.44-r0.apk
2025-07-14 05:59
28K
perl-sql-abstract-more-doc-1.44-r0.apk
2025-07-14 05:59
17K
perl-starman-0.4017-r0.apk
2024-10-25 20:12
13K
perl-starman-doc-0.4017-r0.apk
2024-10-25 20:12
10K
perl-statistics-basic-1.6611-r0.apk
2024-10-25 20:12
9.2K
perl-statistics-basic-doc-1.6611-r0.apk
2024-10-25 20:12
50K
perl-statistics-descriptive-3.0801-r0.apk
2024-10-25 20:12
30K
perl-statistics-descriptive-doc-3.0801-r0.apk
2024-10-25 20:12
38K
perl-string-camelcase-0.04-r2.apk
2024-10-25 20:12
3.2K
perl-string-camelcase-doc-0.04-r2.apk
2024-10-25 20:12
3.5K
perl-string-escape-2010.002-r0.apk
2025-03-29 15:58
8.9K
perl-string-escape-doc-2010.002-r0.apk
2025-03-29 15:58
7.8K
perl-string-toidentifier-en-0.12-r0.apk
2025-06-09 12:06
5.4K
perl-string-toidentifier-en-doc-0.12-r0.apk
2025-06-09 12:06
5.6K
perl-syntax-keyword-match-0.15-r1.apk
2025-07-01 19:19
14K
perl-syntax-keyword-match-doc-0.15-r1.apk
2025-07-01 19:19
7.8K
perl-syntax-operator-equ-0.10-r1.apk
2025-07-01 19:19
8.3K
perl-syntax-operator-equ-doc-0.10-r1.apk
2025-07-01 19:19
6.6K
perl-sys-virt-11.10.0-r0.apk
2025-12-03 16:17
216K
perl-sys-virt-doc-11.10.0-r0.apk
2025-12-03 16:17
106K
perl-task-catalyst-4.02-r0.apk
2025-06-15 04:48
3.0K
perl-task-catalyst-doc-4.02-r0.apk
2025-06-15 04:48
3.7K
perl-template-plugin-csv-0.04-r3.apk
2024-10-25 20:12
2.7K
perl-template-plugin-csv-doc-0.04-r3.apk
2024-10-25 20:12
3.0K
perl-template-plugin-number-format-1.06-r4.apk
2024-10-25 20:12
4.9K
perl-template-plugin-number-format-doc-1.06-r4.apk
2024-10-25 20:12
4.4K
perl-template-timer-1.00-r0.apk
2025-04-12 15:51
3.4K
perl-template-timer-doc-1.00-r0.apk
2025-04-12 15:51
3.7K
perl-template-tiny-1.16-r0.apk
2025-07-24 13:01
5.5K
perl-template-tiny-doc-1.16-r0.apk
2025-07-24 13:01
5.0K
perl-term-size-0.211-r5.apk
2025-07-01 19:19
5.9K
perl-term-size-doc-0.211-r5.apk
2025-07-01 19:19
3.8K
perl-term-ui-0.50-r1.apk
2024-10-25 20:12
10K
perl-term-ui-doc-0.50-r1.apk
2024-10-25 20:12
8.5K
perl-test-api-0.010-r2.apk
2024-10-25 20:12
5.1K
perl-test-api-doc-0.010-r2.apk
2024-10-25 20:12
4.3K
perl-test-distribution-2.00-r1.apk
2024-10-25 20:12
7.8K
perl-test-distribution-doc-2.00-r1.apk
2024-10-25 20:12
6.1K
perl-test-expect-0.34-r0.apk
2025-04-21 17:35
3.5K
perl-test-expect-doc-0.34-r0.apk
2025-04-21 17:35
3.6K
perl-test-file-1.995-r0.apk
2025-04-19 17:54
11K
perl-test-file-doc-1.995-r0.apk
2025-04-19 17:54
6.8K
perl-test-kwalitee-1.28-r0.apk
2025-07-12 13:43
6.4K
perl-test-kwalitee-doc-1.28-r0.apk
2025-07-12 13:43
6.9K
perl-test-modern-0.013-r3.apk
2024-10-25 20:12
15K
perl-test-modern-doc-0.013-r3.apk
2024-10-25 20:12
9.9K
perl-test-perl-critic-1.04-r0.apk
2025-07-11 16:50
6.8K
perl-test-perl-critic-doc-1.04-r0.apk
2025-07-11 16:50
6.4K
perl-test-roo-1.004-r3.apk
2024-10-25 20:12
12K
perl-test-roo-doc-1.004-r3.apk
2024-10-25 20:12
15K
perl-test-trap-0.3.5-r1.apk
2024-10-25 20:12
20K
perl-test-trap-doc-0.3.5-r1.apk
2024-10-25 20:12
20K
perl-test-utf8-1.03-r0.apk
2024-11-16 15:11
5.6K
perl-test-utf8-doc-1.03-r0.apk
2024-11-16 15:11
4.9K
perl-test-www-mechanize-1.60-r0.apk
2025-04-13 14:57
15K
perl-test-www-mechanize-catalyst-0.62-r0.apk
2025-04-20 04:32
7.4K
perl-test-www-mechanize-catalyst-doc-0.62-r0.apk
2025-04-20 04:32
6.2K
perl-test-www-mechanize-doc-1.60-r0.apk
2025-04-13 14:57
10K
perl-text-brew-0.02-r5.apk
2024-10-25 20:12
4.5K
perl-text-brew-doc-0.02-r5.apk
2024-10-25 20:12
4.1K
perl-text-german-0.06-r0.apk
2025-06-07 02:00
13K
perl-text-german-doc-0.06-r0.apk
2025-06-07 02:00
3.0K
perl-text-simpletable-2.07-r0.apk
2025-03-19 11:46
4.5K
perl-text-simpletable-doc-2.07-r0.apk
2025-03-19 11:46
3.5K
perl-text-worddiff-0.09-r0.apk
2025-06-09 16:26
10K
perl-text-worddiff-doc-0.09-r0.apk
2025-06-09 16:26
14K
perl-throwable-1.001-r1.apk
2024-10-25 20:12
6.2K
perl-throwable-doc-1.001-r1.apk
2024-10-25 20:12
8.0K
perl-tie-toobject-0.03-r0.apk
2025-03-19 11:46
2.6K
perl-tie-toobject-doc-0.03-r0.apk
2025-03-19 11:46
3.2K
perl-tree-simple-visitorfactory-0.16-r0.apk
2025-03-19 11:46
20K
perl-tree-simple-visitorfactory-doc-0.16-r0.apk
2025-03-19 11:46
46K
perl-uri-find-20160806-r0.apk
2025-03-23 07:58
14K
perl-uri-find-doc-20160806-r0.apk
2025-03-23 07:58
9.1K
perl-uri-ws-0.03-r0.apk
2025-03-19 11:46
2.3K
perl-uri-ws-doc-0.03-r0.apk
2025-03-19 11:46
4.3K
perl-url-encode-0.03-r4.apk
2024-10-25 20:12
5.2K
perl-url-encode-doc-0.03-r4.apk
2024-10-25 20:12
4.7K
perl-web-machine-0.17-r0.apk
2025-06-13 18:17
20K
perl-web-machine-doc-0.17-r0.apk
2025-06-13 18:17
29K
perl-web-scraper-0.38-r0.apk
2025-07-11 16:50
7.5K
perl-web-scraper-doc-0.38-r0.apk
2025-07-11 16:50
8.1K
perl-xml-bare-0.53-r14.apk
2025-07-01 19:19
29K
perl-xml-bare-doc-0.53-r14.apk
2025-07-01 19:19
11K
perl-xml-rpc-2.1-r0.apk
2024-10-25 20:12
5.7K
perl-xml-rpc-doc-2.1-r0.apk
2024-10-25 20:12
4.9K
perl-xml-xpathengine-0.14-r0.apk
2025-07-08 16:54
22K
perl-xml-xpathengine-doc-0.14-r0.apk
2025-07-08 16:54
11K
persistent-cache-cpp-1.0.9-r0.apk
2025-09-08 01:00
50K
persistent-cache-cpp-dev-1.0.9-r0.apk
2025-09-08 01:00
18K
persistent-cache-cpp-doc-1.0.9-r0.apk
2025-09-08 01:00
3.2K
pest-language-server-0.3.9-r0.apk
2024-10-25 20:12
1.1M
petitboot-1.15-r1.apk
2026-01-19 14:36
193K
petitboot-dbg-1.15-r1.apk
2026-01-19 14:36
527K
petitboot-doc-1.15-r1.apk
2026-01-19 14:36
8.1K
pfetch-1.9.4-r0.apk
2025-10-21 14:59
23K
pfetch-doc-1.9.4-r0.apk
2025-10-21 14:59
5.7K
pgcat-1.2.0-r1.apk
2025-01-01 17:38
2.6M
pgcat-openrc-1.2.0-r1.apk
2025-01-01 17:38
1.9K
phoronix-test-suite-10.8.4-r2.apk
2024-10-25 20:12
3.9M
phoronix-test-suite-bash-completion-10.8.4-r2.apk
2024-10-25 20:12
1.8K
phoronix-test-suite-doc-10.8.4-r2.apk
2024-10-25 20:12
287K
phosh-osk-data-0.42.0-r0.apk
2025-12-16 16:43
1.3K
phosh-osk-data-de-0.42.0-r0.apk
2025-12-16 16:43
64M
phosh-osk-data-es-0.42.0-r0.apk
2025-12-16 16:43
52M
phosh-osk-data-fi-0.42.0-r0.apk
2025-12-16 16:43
64M
phosh-osk-data-it-0.42.0-r0.apk
2025-12-16 16:43
58M
phosh-osk-data-nl-0.42.0-r0.apk
2025-12-16 16:43
54M
phosh-osk-data-pl-0.42.0-r0.apk
2025-12-16 16:43
57M
phosh-osk-data-pt-0.42.0-r0.apk
2025-12-16 16:43
57M
phosh-osk-data-ru-0.42.0-r0.apk
2025-12-16 16:43
20M
phosh-osk-data-se-0.42.0-r0.apk
2025-12-16 16:43
15M
phosh-osk-data-uk-0.42.0-r0.apk
2025-12-16 16:43
16M
phosh-tour-0.52.0-r0.apk
2026-01-04 16:14
40K
phosh-tour-lang-0.52.0-r0.apk
2026-01-04 16:14
36K
phosh-tour-systemd-0.52.0-r0.apk
2026-01-04 16:14
1.8K
php81-8.1.34-r1.apk
2026-01-19 14:36
1.8M
php81-apache2-8.1.34-r1.apk
2026-01-19 14:36
1.8M
php81-bcmath-8.1.34-r1.apk
2026-01-19 14:36
17K
php81-bz2-8.1.34-r1.apk
2026-01-19 14:36
11K
php81-calendar-8.1.34-r1.apk
2026-01-19 14:36
13K
php81-cgi-8.1.34-r1.apk
2026-01-19 14:36
1.8M
php81-common-8.1.34-r1.apk
2026-01-19 14:36
25K
php81-ctype-8.1.34-r1.apk
2026-01-19 14:36
4.9K
php81-curl-8.1.34-r1.apk
2026-01-19 14:36
38K
php81-dba-8.1.34-r1.apk
2026-01-19 14:36
25K
php81-dev-8.1.34-r1.apk
2026-01-19 14:36
939K
php81-doc-8.1.34-r1.apk
2026-01-19 14:36
68K
php81-dom-8.1.34-r1.apk
2026-01-19 14:36
65K
php81-embed-8.1.34-r1.apk
2026-01-19 14:36
1.8M
php81-enchant-8.1.34-r1.apk
2026-01-19 14:36
8.9K
php81-exif-8.1.34-r1.apk
2026-01-19 14:36
30K
php81-ffi-8.1.34-r1.apk
2026-01-19 14:36
68K
php81-fileinfo-8.1.34-r1.apk
2026-01-19 14:36
383K
php81-fpm-8.1.34-r1.apk
2026-01-19 14:36
1.9M
php81-ftp-8.1.34-r1.apk
2026-01-19 14:36
25K
php81-gd-8.1.34-r1.apk
2026-01-19 14:36
135K
php81-gettext-8.1.34-r1.apk
2026-01-19 14:36
6.3K
php81-gmp-8.1.34-r1.apk
2026-01-19 14:36
21K
php81-iconv-8.1.34-r1.apk
2026-01-19 14:36
19K
php81-imap-8.1.34-r1.apk
2026-01-19 14:36
35K
php81-intl-8.1.34-r1.apk
2026-01-19 14:36
150K
php81-ldap-8.1.34-r1.apk
2026-01-19 14:36
34K
php81-litespeed-8.1.34-r1.apk
2026-01-19 14:36
1.8M
php81-mbstring-8.1.34-r1.apk
2026-01-19 14:36
577K
php81-mysqli-8.1.34-r1.apk
2026-01-19 14:36
42K
php81-mysqlnd-8.1.34-r1.apk
2026-01-19 14:36
84K
php81-odbc-8.1.34-r1.apk
2026-01-19 14:36
25K
php81-opcache-8.1.34-r1.apk
2026-01-19 14:36
349K
php81-openssl-8.1.34-r1.apk
2026-01-19 14:36
80K
php81-pcntl-8.1.34-r1.apk
2026-01-19 14:36
14K
php81-pdo-8.1.34-r1.apk
2026-01-19 14:36
44K
php81-pdo_dblib-8.1.34-r1.apk
2026-01-19 14:36
13K
php81-pdo_mysql-8.1.34-r1.apk
2026-01-19 14:36
14K
php81-pdo_odbc-8.1.34-r1.apk
2026-01-19 14:36
13K
php81-pdo_pgsql-8.1.34-r1.apk
2026-01-19 14:36
21K
php81-pdo_sqlite-8.1.34-r1.apk
2026-01-19 14:36
14K
php81-pear-8.1.34-r1.apk
2026-01-19 14:36
338K
php81-pecl-amqp-2.2.0-r0.apk
2026-01-03 02:10
51K
php81-pecl-apcu-5.1.28-r0.apk
2025-12-07 15:54
56K
php81-pecl-ast-1.1.3-r0.apk
2025-08-10 17:42
20K
php81-pecl-brotli-0.18.3-r0.apk
2025-12-01 02:45
15K
php81-pecl-couchbase-4.3.0-r0.apk
2025-06-15 04:25
5.4M
php81-pecl-csv-0.4.3-r0.apk
2025-02-25 15:45
9.8K
php81-pecl-decimal-1.5.0-r1.apk
2024-10-25 20:12
19K
php81-pecl-ds-1.6.0-r0.apk
2025-05-07 13:16
62K
php81-pecl-event-3.1.4-r0.apk
2024-10-25 20:12
51K
php81-pecl-grpc-1.76.0-r0.apk
2025-10-24 19:28
4.7M
php81-pecl-igbinary-3.2.17_rc1-r0.apk
2025-11-27 21:26
32K
php81-pecl-imagick-3.8.1-r0.apk
2025-11-28 00:13
110K
php81-pecl-imagick-dev-3.8.1-r0.apk
2025-11-28 00:13
2.3K
php81-pecl-immutable_cache-6.1.0-r0.apk
2024-10-25 20:12
42K
php81-pecl-jsmin-3.0.0-r0.apk
2024-10-25 20:12
11K
php81-pecl-luasandbox-4.1.2-r0.apk
2024-10-25 20:12
31K
php81-pecl-lzf-1.7.0-r0.apk
2024-10-25 20:12
7.4K
php81-pecl-mailparse-3.1.9-r0.apk
2025-09-30 12:38
24K
php81-pecl-maxminddb-1.13.0-r0.apk
2025-11-21 00:42
8.4K
php81-pecl-mcrypt-1.0.9-r0.apk
2025-08-05 12:42
15K
php81-pecl-memcache-8.2-r1.apk
2024-10-25 20:12
43K
php81-pecl-mongodb-2.1.4-r0.apk
2025-10-08 20:26
834K
php81-pecl-oauth-2.0.10-r0.apk
2025-10-09 18:37
36K
php81-pecl-opentelemetry-1.2.1-r0.apk
2025-10-04 23:15
13K
php81-pecl-pcov-1.0.12-r0.apk
2024-12-04 17:17
9.5K
php81-pecl-protobuf-4.33.4-r0.apk
2026-01-19 14:36
152K
php81-pecl-psr-1.2.0-r0.apk
2024-10-25 20:12
17K
php81-pecl-rdkafka-6.0.5-r0.apk
2024-11-04 12:52
37K
php81-pecl-smbclient-1.2.0_pre-r0.apk
2024-12-10 19:02
21K
php81-pecl-ssh2-1.4.1-r0.apk
2024-10-25 20:12
27K
php81-pecl-timezonedb-2025.2-r0.apk
2025-03-27 11:06
189K
php81-pecl-uploadprogress-2.0.2-r1.apk
2024-10-25 20:12
6.7K
php81-pecl-uploadprogress-doc-2.0.2-r1.apk
2024-10-25 20:12
9.9K
php81-pecl-uuid-1.3.0-r0.apk
2025-05-12 23:18
6.7K
php81-pecl-xdebug-3.5.0-r0.apk
2025-12-04 22:56
153K
php81-pecl-xhprof-2.3.10-r0.apk
2024-10-25 20:12
13K
php81-pecl-xhprof-assets-2.3.10-r0.apk
2024-10-25 20:12
801K
php81-pecl-xlswriter-1.5.8-r0.apk
2024-11-11 01:44
229K
php81-pecl-xmlrpc-1.0.0_rc3-r2.apk
2024-10-25 20:12
37K
php81-pecl-yaml-2.3.0-r0.apk
2025-11-14 18:39
19K
php81-pecl-zephir_parser-1.8.0-r0.apk
2025-09-29 12:34
58K
php81-pecl-zstd-0.15.2-r0.apk
2025-09-09 18:55
17K
php81-pgsql-8.1.34-r1.apk
2026-01-19 14:36
49K
php81-phar-8.1.34-r1.apk
2026-01-19 14:36
131K
php81-phpdbg-8.1.34-r1.apk
2026-01-19 14:36
1.9M
php81-posix-8.1.34-r1.apk
2026-01-19 14:36
12K
php81-pspell-8.1.34-r1.apk
2026-01-19 14:36
8.4K
php81-session-8.1.34-r1.apk
2026-01-19 14:36
39K
php81-shmop-8.1.34-r1.apk
2026-01-19 14:36
6.3K
php81-simplexml-8.1.34-r1.apk
2026-01-19 14:36
25K
php81-snmp-8.1.34-r1.apk
2026-01-19 14:36
22K
php81-soap-8.1.34-r1.apk
2026-01-19 14:36
146K
php81-sockets-8.1.34-r1.apk
2026-01-19 14:36
38K
php81-sodium-8.1.34-r1.apk
2026-01-19 14:36
27K
php81-sqlite3-8.1.34-r1.apk
2026-01-19 14:36
22K
php81-sysvmsg-8.1.34-r1.apk
2026-01-19 14:36
8.2K
php81-sysvsem-8.1.34-r1.apk
2026-01-19 14:36
6.0K
php81-sysvshm-8.1.34-r1.apk
2026-01-19 14:36
7.0K
php81-tideways_xhprof-5.0.4-r1.apk
2024-10-25 20:12
14K
php81-tidy-8.1.34-r1.apk
2026-01-19 14:36
20K
php81-tokenizer-8.1.34-r1.apk
2026-01-19 14:36
12K
php81-xml-8.1.34-r1.apk
2026-01-19 14:36
20K
php81-xmlreader-8.1.34-r1.apk
2026-01-19 14:36
14K
php81-xmlwriter-8.1.34-r1.apk
2026-01-19 14:36
12K
php81-xsl-8.1.34-r1.apk
2026-01-19 14:36
14K
php81-zip-8.1.34-r1.apk
2026-01-19 14:36
28K
php82-8.2.30-r2.apk
2026-01-19 14:36
1.9M
php82-apache2-8.2.30-r2.apk
2026-01-19 14:36
1.9M
php82-bcmath-8.2.30-r2.apk
2026-01-19 14:36
17K
php82-bz2-8.2.30-r2.apk
2026-01-19 14:36
11K
php82-calendar-8.2.30-r2.apk
2026-01-19 14:36
13K
php82-cgi-8.2.30-r2.apk
2026-01-19 14:36
1.9M
php82-common-8.2.30-r2.apk
2026-01-19 14:36
25K
php82-ctype-8.2.30-r2.apk
2026-01-19 14:36
4.9K
php82-curl-8.2.30-r2.apk
2026-01-19 14:36
41K
php82-dba-8.2.30-r2.apk
2026-01-19 14:36
26K
php82-dbg-8.2.30-r2.apk
2026-01-19 14:36
42M
php82-dev-8.2.30-r2.apk
2026-01-19 14:36
968K
php82-doc-8.2.30-r2.apk
2026-01-19 14:36
73K
php82-dom-8.2.30-r2.apk
2026-01-19 14:36
67K
php82-embed-8.2.30-r2.apk
2026-01-19 14:36
1.9M
php82-enchant-8.2.30-r2.apk
2026-01-19 14:36
8.9K
php82-exif-8.2.30-r2.apk
2026-01-19 14:36
30K
php82-ffi-8.2.30-r2.apk
2026-01-19 14:36
70K
php82-fileinfo-8.2.30-r2.apk
2026-01-19 14:36
384K
php82-fpm-8.2.30-r2.apk
2026-01-19 14:36
1.9M
php82-ftp-8.2.30-r2.apk
2026-01-19 14:36
25K
php82-gd-8.2.30-r2.apk
2026-01-19 14:36
135K
php82-gettext-8.2.30-r2.apk
2026-01-19 14:36
6.4K
php82-gmp-8.2.30-r2.apk
2026-01-19 14:36
22K
php82-iconv-8.2.30-r2.apk
2026-01-19 14:36
19K
php82-imap-8.2.30-r2.apk
2026-01-19 14:36
36K
php82-intl-8.2.30-r2.apk
2026-01-19 14:36
165K
php82-ldap-8.2.30-r2.apk
2026-01-19 14:36
34K
php82-litespeed-8.2.30-r2.apk
2026-01-19 14:36
1.9M
php82-mbstring-8.2.30-r2.apk
2026-01-19 14:36
643K
php82-mysqli-8.2.30-r2.apk
2026-01-19 14:36
43K
php82-mysqlnd-8.2.30-r2.apk
2026-01-19 14:36
84K
php82-odbc-8.2.30-r2.apk
2026-01-19 14:36
26K
php82-opcache-8.2.30-r2.apk
2026-01-19 14:36
347K
php82-openssl-8.2.30-r2.apk
2026-01-19 14:36
82K
php82-pcntl-8.2.30-r2.apk
2026-01-19 14:36
16K
php82-pdo-8.2.30-r2.apk
2026-01-19 14:36
45K
php82-pdo_dblib-8.2.30-r2.apk
2026-01-19 14:36
13K
php82-pdo_mysql-8.2.30-r2.apk
2026-01-19 14:36
14K
php82-pdo_odbc-8.2.30-r2.apk
2026-01-19 14:36
14K
php82-pdo_pgsql-8.2.30-r2.apk
2026-01-19 14:36
21K
php82-pdo_sqlite-8.2.30-r2.apk
2026-01-19 14:36
14K
php82-pear-8.2.30-r2.apk
2026-01-19 14:36
338K
php82-pecl-amqp-2.2.0-r0.apk
2026-01-03 02:10
51K
php82-pecl-apcu-5.1.28-r0.apk
2025-12-07 15:54
57K
php82-pecl-apfd-1.0.3-r0.apk
2024-10-25 20:12
4.6K
php82-pecl-ast-1.1.3-r0.apk
2025-10-24 02:17
20K
php82-pecl-brotli-0.18.3-r0.apk
2025-12-01 02:45
15K
php82-pecl-couchbase-4.3.0-r0.apk
2025-10-24 01:33
5.5M
php82-pecl-decimal-1.5.0-r1.apk
2025-10-24 02:17
19K
php82-pecl-ds-1.6.0-r0.apk
2025-10-24 02:17
62K
php82-pecl-event-3.1.4-r0.apk
2025-10-24 02:17
51K
php82-pecl-excimer-1.2.5-r0.apk
2025-05-20 15:43
21K
php82-pecl-grpc-1.76.0-r0.apk
2025-10-24 19:28
4.7M
php82-pecl-igbinary-3.2.17_rc1-r0.apk
2025-11-27 21:26
32K
php82-pecl-imagick-3.8.1-r0.apk
2025-11-28 00:13
110K
php82-pecl-imagick-dev-3.8.1-r0.apk
2025-11-28 00:13
2.3K
php82-pecl-immutable_cache-6.1.0-r0.apk
2024-10-25 20:12
42K
php82-pecl-jsmin-3.0.0-r0.apk
2024-10-25 20:12
11K
php82-pecl-luasandbox-4.1.2-r0.apk
2025-10-24 02:17
31K
php82-pecl-lzf-1.7.0-r0.apk
2025-10-24 02:17
7.4K
php82-pecl-mailparse-3.1.9-r0.apk
2025-10-24 02:17
24K
php82-pecl-maxminddb-1.13.0-r0.apk
2025-11-21 00:42
8.4K
php82-pecl-mcrypt-1.0.9-r0.apk
2025-10-24 02:17
15K
php82-pecl-memcache-8.2-r2.apk
2025-10-24 02:17
43K
php82-pecl-memcached-3.4.0-r0.apk
2025-10-24 02:17
47K
php82-pecl-mongodb-2.1.4-r0.apk
2025-10-24 02:17
834K
php82-pecl-msgpack-3.0.0-r0.apk
2025-10-24 02:17
27K
php82-pecl-oauth-2.0.10-r0.apk
2025-10-09 18:37
36K
php82-pecl-opentelemetry-1.2.1-r0.apk
2025-10-24 02:17
13K
php82-pecl-pcov-1.0.12-r0.apk
2025-10-24 02:17
9.7K
php82-pecl-protobuf-4.33.4-r0.apk
2026-01-19 14:36
152K
php82-pecl-psr-1.2.0-r1.apk
2025-10-24 02:17
16K
php82-pecl-rdkafka-6.0.5-r0.apk
2025-10-24 02:17
37K
php82-pecl-redis-6.3.0-r0.apk
2025-11-07 16:49
185K
php82-pecl-runkit7-4.0.0_alpha6-r1.apk
2024-10-25 20:12
30K
php82-pecl-smbclient-1.2.0_pre-r0.apk
2025-10-24 02:17
21K
php82-pecl-ssh2-1.4.1-r0.apk
2025-10-24 02:17
27K
php82-pecl-teds-1.3.0-r0.apk
2024-10-25 20:12
126K
php82-pecl-timezonedb-2025.2-r0.apk
2025-10-24 02:17
190K
php82-pecl-uploadprogress-2.0.2-r2.apk
2025-10-24 02:17
6.7K
php82-pecl-uploadprogress-doc-2.0.2-r2.apk
2025-10-24 02:17
9.6K
php82-pecl-uuid-1.3.0-r0.apk
2025-10-24 02:17
6.7K
php82-pecl-vips-1.0.13-r0.apk
2025-10-24 02:17
17K
php82-pecl-vld-0.19.1-r0.apk
2025-07-20 13:28
15K
php82-pecl-xdebug-3.5.0-r0.apk
2025-12-04 22:56
153K
php82-pecl-xhprof-2.3.10-r0.apk
2025-10-24 02:17
13K
php82-pecl-xhprof-assets-2.3.10-r0.apk
2025-10-24 02:17
800K
php82-pecl-xlswriter-1.5.8-r0.apk
2025-10-24 02:17
229K
php82-pecl-yaml-2.3.0-r0.apk
2025-11-14 18:39
19K
php82-pecl-zephir_parser-1.8.0-r0.apk
2025-09-29 12:34
58K
php82-pecl-zstd-0.15.2-r0.apk
2025-10-24 02:17
17K
php82-pgsql-8.2.30-r2.apk
2026-01-19 14:36
49K
php82-phar-8.2.30-r2.apk
2026-01-19 14:36
131K
php82-phpdbg-8.2.30-r2.apk
2026-01-19 14:36
1.9M
php82-posix-8.2.30-r2.apk
2026-01-19 14:36
12K
php82-pspell-8.2.30-r2.apk
2026-01-19 14:36
8.4K
php82-session-8.2.30-r2.apk
2026-01-19 14:36
40K
php82-shmop-8.2.30-r2.apk
2026-01-19 14:36
6.3K
php82-simplexml-8.2.30-r2.apk
2026-01-19 14:36
25K
php82-snappy-0.2.3-r0.apk
2025-04-11 18:13
5.4K
php82-snmp-8.2.30-r2.apk
2026-01-19 14:36
23K
php82-soap-8.2.30-r2.apk
2026-01-19 14:36
148K
php82-sockets-8.2.30-r2.apk
2026-01-19 14:36
39K
php82-sodium-8.2.30-r2.apk
2026-01-19 14:36
28K
php82-sqlite3-8.2.30-r2.apk
2026-01-19 14:36
24K
php82-sysvmsg-8.2.30-r2.apk
2026-01-19 14:36
8.5K
php82-sysvsem-8.2.30-r2.apk
2026-01-19 14:36
6.0K
php82-sysvshm-8.2.30-r2.apk
2026-01-19 14:36
7.0K
php82-tidy-8.2.30-r2.apk
2026-01-19 14:36
21K
php82-tokenizer-8.2.30-r2.apk
2026-01-19 14:36
12K
php82-xml-8.2.30-r2.apk
2026-01-19 14:36
20K
php82-xmlreader-8.2.30-r2.apk
2026-01-19 14:36
14K
php82-xmlwriter-8.2.30-r2.apk
2026-01-19 14:36
12K
php82-xsl-8.2.30-r2.apk
2026-01-19 14:36
14K
php82-zip-8.2.30-r2.apk
2026-01-19 14:36
31K
php83-pecl-apfd-1.0.3-r0.apk
2024-10-25 20:12
4.6K
php83-pecl-eio-3.1.4-r0.apk
2025-07-20 15:26
29K
php83-pecl-ev-1.2.2-r0.apk
2025-11-07 17:25
42K
php83-pecl-excimer-1.2.5-r0.apk
2025-05-20 15:43
21K
php83-pecl-jsmin-3.0.0-r0.apk
2024-10-25 20:12
11K
php83-pecl-oauth-2.0.10-r0.apk
2025-10-09 18:37
36K
php83-pecl-phpy-1.0.11-r1.apk
2025-05-12 21:41
44K
php83-pecl-uv-0.3.0-r0.apk
2024-10-25 20:12
49K
php83-pecl-vld-0.19.1-r0.apk
2025-07-20 13:28
15K
php83-pecl-zmq-1.1.4-r0.apk
2024-10-25 20:12
32K
php84-pecl-csv-0.4.3-r0.apk
2025-02-25 15:45
9.9K
php84-pecl-ev-1.2.2-r0.apk
2025-11-07 17:25
42K
php84-pecl-mcrypt-1.0.9-r0.apk
2025-08-05 12:42
15K
php84-pecl-oauth-2.0.10-r0.apk
2025-10-09 18:37
36K
php84-pecl-phpy-1.0.11-r1.apk
2025-05-12 21:41
44K
php84-pecl-runkit7-4.0.0_alpha6-r0.apk
2025-12-31 18:35
34K
php84-pecl-solr-2.9.1-r0.apk
2025-11-25 05:10
87K
php84-pecl-uv-0.3.0-r0.apk
2024-10-28 12:47
49K
php84-pecl-vld-0.19.1-r0.apk
2025-07-20 13:28
15K
php84-snappy-0.2.3-r0.apk
2025-04-11 18:13
5.4K
php85-pecl-ev-1.2.2-r0.apk
2025-11-07 17:25
42K
php85-pecl-luasandbox-4.1.3-r0.apk
2025-12-20 11:45
32K
php85-pecl-oauth-2.0.10-r0.apk
2025-10-09 18:37
40K
php85-pecl-runkit7-4.0.0_alpha6-r0.apk
2025-12-31 18:35
34K
php85-pecl-solr-2.9.1-r0.apk
2025-11-25 05:10
87K
php85-pecl-vld-0.19.1-r1.apk
2025-09-24 13:23
15K
php85-snappy-0.2.3-r0.apk
2025-12-25 00:03
5.4K
phpactor-2025.10.17.0-r0.apk
2025-11-19 03:57
3.6M
pick-4.0.0-r0.apk
2024-10-25 20:12
9.7K
pick-doc-4.0.0-r0.apk
2024-10-25 20:12
3.3K
pict-rs-0.5.19-r1.apk
2025-10-14 13:41
5.7M
pict-rs-openrc-0.5.19-r1.apk
2025-10-14 13:41
1.9K
pidif-0.1-r1.apk
2024-10-25 20:12
165K
piglit-0_git20241106-r1.apk
2025-05-12 21:41
89M
pihole-6.2.3-r0.apk
2025-06-20 18:05
5.6M
pihole-bash-completion-6.2.3-r0.apk
2025-06-20 18:05
2.2K
pihole-doc-6.2.3-r0.apk
2025-06-20 18:05
3.8K
pihole-openrc-6.2.3-r0.apk
2025-06-20 18:05
1.8K
pikchr-cmd-1.0.0-r0.apk
2025-10-25 09:43
43K
pikchr-cmd-doc-1.0.0-r0.apk
2025-10-25 09:43
2.2K
pimd-3.0_git20220201-r0.apk
2024-10-25 20:12
91K
pimd-dense-2.1.0-r0.apk
2024-10-25 20:12
57K
pimd-dense-doc-2.1.0-r0.apk
2024-10-25 20:12
20K
pimd-dense-openrc-2.1.0-r0.apk
2024-10-25 20:12
1.9K
pimd-doc-3.0_git20220201-r0.apk
2024-10-25 20:12
35K
pimd-openrc-3.0_git20220201-r0.apk
2024-10-25 20:12
1.6K
pinentry-bemenu-0.14.0-r1.apk
2025-07-06 00:16
8.7K
piping-server-0.18.0-r0.apk
2024-10-25 20:12
1.3M
piping-server-openrc-0.18.0-r0.apk
2024-10-25 20:12
1.8K
pithos-1.6.1-r0.apk
2024-10-25 20:12
104K
pithos-doc-1.6.1-r0.apk
2024-10-25 20:12
2.1K
pithos-pyc-1.6.1-r0.apk
2024-10-25 20:12
154K
pitivi-2023.03-r2.apk
2024-12-22 22:04
2.7M
pitivi-lang-2023.03-r2.apk
2024-12-22 22:04
678K
pitivi-pyc-2023.03-r2.apk
2024-12-22 22:04
700K
pixi-0.24.2-r0.apk
2024-10-25 20:12
8.6M
pixi-bash-completion-0.24.2-r0.apk
2024-10-25 20:12
7.2K
pixi-doc-0.24.2-r0.apk
2024-10-25 20:12
6.9K
pixi-fish-completion-0.24.2-r0.apk
2024-10-25 20:12
10K
pixi-zsh-completion-0.24.2-r0.apk
2024-10-25 20:12
10K
pixiewps-1.4.2-r2.apk
2025-05-12 21:41
54K
pixiewps-doc-1.4.2-r2.apk
2025-05-12 21:41
3.4K
plakar-1.0.6-r2.apk
2026-01-19 14:36
20M
plakar-doc-1.0.6-r2.apk
2026-01-19 14:36
2.1K
planner-0.14.92-r1.apk
2024-12-08 21:39
358K
planner-doc-0.14.92-r1.apk
2024-12-08 21:39
2.2K
planner-lang-0.14.92-r1.apk
2024-12-08 21:39
825K
platformio-core-6.1.7-r3.apk
2024-10-25 20:12
263K
platformio-core-pyc-6.1.7-r3.apk
2024-10-25 20:12
552K
please-0.5.6-r0.apk
2025-08-29 08:46
1.0M
please-doc-0.5.6-r0.apk
2025-08-29 08:46
16K
plib-1.8.5-r3.apk
2024-10-25 20:12
853K
plots-0.7.0-r1.apk
2024-11-06 09:41
516K
plplot-5.15.0-r2.apk
2024-10-25 20:12
31K
plplot-dev-5.15.0-r2.apk
2024-10-25 20:12
59K
plplot-doc-5.15.0-r2.apk
2024-10-25 20:12
311K
plplot-libs-5.15.0-r2.apk
2024-10-25 20:12
216K
pmccabe-2.8-r1.apk
2024-10-25 20:12
22K
pmccabe-doc-2.8-r1.apk
2024-10-25 20:12
7.1K
pneink-theme-1.3-r0.apk
2025-07-30 00:26
9.8K
pneink-theme-doc-1.3-r0.apk
2025-07-30 00:26
1.7K
pnmixer-0.7.2-r4.apk
2026-01-19 14:36
139K
pnmixer-doc-0.7.2-r4.apk
2026-01-19 14:36
2.3K
pnmixer-lang-0.7.2-r4.apk
2026-01-19 14:36
25K
pokoy-0.2.5-r0.apk
2024-10-25 20:12
11K
pokoy-doc-0.2.5-r0.apk
2024-10-25 20:12
3.0K
policycoreutils-3.6-r1.apk
2024-10-25 20:12
53K
policycoreutils-bash-completion-3.6-r1.apk
2024-10-25 20:12
2.4K
policycoreutils-doc-3.6-r1.apk
2024-10-25 20:12
22K
policycoreutils-lang-3.6-r1.apk
2024-10-25 20:12
105K
polyglot-2.0.4-r2.apk
2026-01-23 19:17
65K
polyglot-doc-2.0.4-r2.apk
2026-01-23 19:17
47K
pomo-0.8.1-r29.apk
2026-01-19 14:36
1.7M
pomo-doc-0.8.1-r29.apk
2026-01-19 14:36
2.7K
pongoos-loader-0_git20210704-r1.apk
2024-10-25 20:12
2.4K
pop-cursor-theme-3.5.1-r0.apk
2025-03-25 07:55
13M
pop-icon-theme-3.5.1-r0.apk
2025-03-25 07:55
1.3M
popeye-0.22.1-r10.apk
2026-01-19 14:36
30M
porla-0.41.0-r2.apk
2025-02-24 15:10
3.7M
porla-doc-0.41.0-r2.apk
2025-02-24 15:10
2.2K
porla-openrc-0.41.0-r2.apk
2025-02-24 15:10
2.7K
portsmf-239-r2.apk
2025-10-13 20:02
61K
portsmf-dev-239-r2.apk
2025-10-13 20:02
20K
postgresql-pg_later-0.0.14-r1.apk
2024-10-25 20:12
622K
postgresql-pg_variables-1.2.5_git20230922-r1.apk
2025-11-03 16:12
26K
postgresql-pg_variables-bitcode-1.2.5_git20230922-r1.apk
2025-11-03 16:12
45K
postgresql-pgmq-1.1.1-r1.apk
2024-10-25 20:12
264K
postgresql16-wal2json-2.6-r0.apk
2024-10-25 20:12
72K
pounce-3.1-r4.apk
2025-09-12 22:22
29K
pounce-doc-3.1-r4.apk
2025-09-12 22:22
8.5K
pounce-openrc-3.1-r4.apk
2025-09-12 22:22
2.7K
powder-toy-97.0.352-r1.apk
2024-10-25 20:12
848K
powerstat-0.04.01-r0.apk
2024-10-25 20:12
20K
powerstat-bash-completion-0.04.01-r0.apk
2024-10-25 20:12
2.3K
powerstat-doc-0.04.01-r0.apk
2024-10-25 20:12
4.3K
pptpclient-1.10.0-r6.apk
2025-07-01 19:19
33K
pptpclient-doc-1.10.0-r6.apk
2025-07-01 19:19
7.2K
pqiv-2.12-r1.apk
2024-10-25 20:12
72K
pqiv-doc-2.12-r1.apk
2024-10-25 20:12
12K
predict-2.3.1-r0.apk
2024-11-23 19:05
108K
predict-doc-2.3.1-r0.apk
2024-11-23 19:05
16K
predoc-0.2.3-r0.apk
2026-01-24 13:59
355K
predoc-doc-0.2.3-r0.apk
2026-01-24 13:59
11K
primecount-7.20-r0.apk
2025-11-25 19:10
33K
primecount-dev-7.20-r0.apk
2025-11-25 19:10
2.1M
primecount-doc-7.20-r0.apk
2025-11-25 19:10
4.2K
primecount-libs-7.20-r0.apk
2025-11-25 19:10
176K
primesieve-12.10-r0.apk
2025-11-25 19:10
52K
primesieve-dev-12.10-r0.apk
2025-11-25 19:10
1.4M
primesieve-doc-12.10-r0.apk
2025-11-25 19:10
4.1K
primesieve-libs-12.10-r0.apk
2025-11-25 19:10
147K
prjtrellis-1.4-r2.apk
2024-10-25 20:12
1.3M
prjtrellis-db-0_git20230929-r0.apk
2024-10-25 20:12
3.3K
prjtrellis-db-ecp5-0_git20230929-r0.apk
2024-10-25 20:12
2.1M
prjtrellis-db-machxo-0_git20230929-r0.apk
2024-10-25 20:12
39K
prjtrellis-db-machxo2-0_git20230929-r0.apk
2024-10-25 20:12
1.0M
prjtrellis-db-machxo3-0_git20230929-r0.apk
2024-10-25 20:12
1.1M
prjtrellis-db-machxo3d-0_git20230929-r0.apk
2024-10-25 20:12
748K
projectm-3.1.12-r2.apk
2024-10-25 20:12
462K
projectm-dev-3.1.12-r2.apk
2024-10-25 20:12
605K
projectm-presets-3.1.12-r2.apk
2024-10-25 20:12
4.3M
projectm-pulseaudio-3.1.12-r2.apk
2024-10-25 20:12
434K
projectm-pulseaudio-doc-3.1.12-r2.apk
2024-10-25 20:12
2.0K
projectm-sdl-3.1.12-r2.apk
2024-10-25 20:12
339K
projectsandcastle-loader-0_git20200307-r1.apk
2024-10-25 20:12
4.9K
prometheus-fastly-exporter-10.2.0-r1.apk
2026-01-19 14:36
5.1M
prometheus-opnsense-exporter-0.0.11-r3.apk
2026-01-19 14:36
5.1M
prometheus-opnsense-exporter-openrc-0.0.11-r3.apk
2026-01-19 14:36
2.1K
prometheus-podman-exporter-1.18.1-r4.apk
2026-01-19 14:36
16M
prometheus-rethinkdb-exporter-1.0.1-r34.apk
2026-01-19 14:36
4.5M
prometheus-rethinkdb-exporter-openrc-1.0.1-r34.apk
2026-01-19 14:36
1.7K
prometheus-smartctl-exporter-0.14.0-r6.apk
2026-01-19 14:36
5.0M
prometheus-smartctl-exporter-openrc-0.14.0-r6.apk
2026-01-19 14:36
1.9K
prometheus-smtp2go-exporter-0.1.1-r5.apk
2026-01-19 14:36
3.7M
prometheus-smtp2go-exporter-openrc-0.1.1-r5.apk
2026-01-19 14:36
2.0K
prometheus-unbound-exporter-0.4.6-r5.apk
2025-05-12 07:42
3.9M
prometheus-unbound-exporter-openrc-0.4.6-r5.apk
2025-05-12 07:42
2.0K
prosody-mod-auth_ldap-0.11_hg20201208-r0.apk
2024-10-25 20:12
2.8K
prosody-mod-auth_pam-0.11_hg20201208-r0.apk
2024-10-25 20:12
1.8K
prosody-mod-auth_sql-0.11_hg20201208-r0.apk
2024-10-25 20:12
2.6K
prosody-mod-block_registrations-0.11_hg20201208-r0.apk
2024-10-25 20:12
1.8K
prosody-mod-bookmarks-0.11_hg20201208-r0.apk
2024-10-25 20:12
3.1K
prosody-mod-broadcast-0.11_hg20201208-r0.apk
2024-10-25 20:12
1.8K
prosody-mod-c2s_conn_throttle-0.11_hg20201208-r0.apk
2024-10-25 20:12
2.0K
prosody-mod-cloud_notify-0.11_hg20201208-r0.apk
2024-10-25 20:12
7.2K
prosody-mod-conversejs-0.11_hg20201208-r0.apk
2024-10-25 20:12
3.3K
prosody-mod-host_guard-0.11_hg20201208-r0.apk
2024-10-25 20:12
2.8K
prosody-mod-http_upload_external-0.11_hg20201208-r0.apk
2024-10-25 20:12
2.9K
prosody-mod-ipcheck-0.11_hg20201208-r0.apk
2024-10-25 20:12
2.0K
prosody-mod-log_auth-0.11_hg20201208-r0.apk
2024-10-25 20:12
1.8K
prosody-mod-log_slow_events-0.11_hg20201208-r0.apk
2024-10-25 20:12
2.2K
prosody-mod-mam-0.11_hg20201208-r0.apk
2024-10-25 20:12
5.9K
prosody-mod-mam_muc-0.11_hg20201208-r0.apk
2024-10-25 20:12
5.6K
prosody-mod-muc_cloud_notify-0.11_hg20201208-r0.apk
2024-10-25 20:12
6.9K
prosody-mod-pastebin-0.11_hg20201208-r0.apk
2024-10-25 20:12
3.7K
prosody-mod-register_json-0.11_hg20201208-r0.apk
2024-10-25 20:12
104K
prosody-mod-register_redirect-0.11_hg20201208-r0.apk
2024-10-25 20:12
2.7K
prosody-mod-reload_modules-0.11_hg20201208-r0.apk
2024-10-25 20:12
2.0K
prosody-mod-require_otr-0.11_hg20201208-r0.apk
2024-10-25 20:12
1.7K
prosody-mod-s2s_idle_timeout-0.11_hg20201208-r0.apk
2024-10-25 20:12
2.0K
prosody-mod-saslname-0.11_hg20201208-r0.apk
2024-10-25 20:12
1.6K
prosody-mod-server_status-0.11_hg20201208-r0.apk
2024-10-25 20:12
2.8K
prosody-mod-smacks-0.11_hg20201208-r0.apk
2024-10-25 20:12
8.6K
prosody-mod-stanza_counter-0.11_hg20201208-r0.apk
2024-10-25 20:12
2.0K
prosody-mod-support_contact-0.11_hg20201208-r0.apk
2024-10-25 20:12
2.0K
prosody-mod-vcard_muc-0.11_hg20201208-r0.apk
2024-10-25 20:12
2.7K
prosody-mod-webpresence-0.11_hg20201208-r0.apk
2024-10-25 20:12
2.6K
prosody-modules-0.11_hg20201208-r0.apk
2024-10-25 20:12
1.5K
protoc-gen-bq-schema-3.1.0-r1.apk
2026-01-19 14:36
1.7M
protoc-gen-doc-1.5.1-r1.apk
2026-01-19 14:36
3.8M
protoc-gen-doc-doc-1.5.1-r1.apk
2026-01-19 14:36
2.3K
protoc-gen-go-1.36.11-r1.apk
2026-01-19 14:36
2.4M
protoc-gen-go-grpc-1.77.0-r1.apk
2026-01-19 14:36
2.3M
protoc-gen-gorm-1.1.5-r1.apk
2026-01-19 14:36
2.5M
protoconf-0.1.7-r19.apk
2026-01-19 14:36
8.0M
proverif-2.05-r1.apk
2026-01-11 22:38
1.4M
proverif-emacs-2.05-r1.apk
2026-01-11 22:38
2.9K
proverif-examples-2.05-r1.apk
2026-01-11 22:38
169K
proverif-interact-2.05-r1.apk
2026-01-11 22:38
1.7M
proverif-utils-2.05-r1.apk
2026-01-11 22:38
445K
psftools-1.1.2-r0.apk
2024-10-25 20:12
190K
psftools-dev-1.1.2-r0.apk
2024-10-25 20:12
43K
psftools-doc-1.1.2-r0.apk
2024-10-25 20:12
60K
psi-notify-1.3.1-r0.apk
2024-10-25 20:12
12K
pspp-2.0.1-r1.apk
2025-10-06 10:56
9.4M
pspp-dbg-2.0.1-r1.apk
2025-10-06 10:56
3.9M
pspp-doc-2.0.1-r1.apk
2025-10-06 10:56
9.0K
psst-0_git20240526-r1.apk
2024-10-25 20:12
7.4M
ptouch-print-1.7-r0.apk
2025-09-13 13:01
30K
ptouch-print-doc-1.7-r0.apk
2025-09-13 13:01
3.1K
ptpd-2.3.1-r1.apk
2024-10-25 20:12
180K
ptpd-doc-2.3.1-r1.apk
2024-10-25 20:12
20K
ptpd-openrc-2.3.1-r1.apk
2024-10-25 20:12
2.4K
ptylie-0.2-r2.apk
2025-05-12 21:41
11K
ptylie-doc-0.2-r2.apk
2025-05-12 21:41
3.2K
pug-0.6.5-r1.apk
2026-01-19 14:36
3.7M
pully-1.0.0-r0.apk
2024-10-25 20:12
2.5K
pully-openrc-1.0.0-r0.apk
2024-10-25 20:12
1.7K
pulsar-client-cpp-3.7.1-r0.apk
2025-06-12 14:06
1.5M
pulsar-client-cpp-dev-3.7.1-r0.apk
2025-06-12 14:06
64K
pulseview-0.4.2-r8.apk
2024-10-25 20:12
1.0M
pulseview-doc-0.4.2-r8.apk
2024-10-25 20:12
3.7K
punch-0.1.1-r0.apk
2025-12-09 23:54
30K
punch-pyc-0.1.1-r0.apk
2025-12-09 23:54
31K
pure-1.23.0-r0.apk
2025-10-20 13:50
18K
pure-doc-1.23.0-r0.apk
2025-10-20 13:50
7.9K
purple-facebook-0.9.6-r0.apk
2024-10-25 20:12
88K
purple-hangouts-0_git20200422-r0.apk
2024-10-25 20:12
228K
pw-volume-0.5.0-r1.apk
2024-10-25 20:12
329K
pwauth-2.3.11-r2.apk
2024-10-25 20:12
4.1K
pwauth-doc-2.3.11-r2.apk
2024-10-25 20:12
6.8K
pwndbg-2025.10.20-r0.apk
2025-12-31 18:35
654K
pwndbg-doc-2025.10.20-r0.apk
2025-12-31 18:35
3.8K
pwndbg-pyc-2025.10.20-r0.apk
2025-12-31 18:35
1.2M
pwntools-4.15.0-r0.apk
2025-12-31 18:36
3.2M
pwntools-doc-4.15.0-r0.apk
2025-12-31 18:36
38K
pwntools-pyc-4.15.0-r0.apk
2025-12-31 18:36
1.7M
pxalarm-3.0.0-r0.apk
2024-10-25 20:12
2.9K
pxmenu-1.0.0-r1.apk
2024-10-25 20:12
2.9K
py3-actdiag-3.0.0-r5.apk
2024-10-25 20:12
17K
py3-actdiag-pyc-3.0.0-r5.apk
2024-10-25 20:12
21K
py3-aesedb-0.1.8-r0.apk
2025-11-03 06:49
34K
py3-aesedb-examples-0.1.8-r0.apk
2025-11-03 06:49
3.4K
py3-aesedb-pyc-0.1.8-r0.apk
2025-11-03 06:49
75K
py3-agithub-2.2.2-r7.apk
2025-03-19 11:46
19K
py3-agithub-pyc-2.2.2-r7.apk
2025-03-19 11:46
21K
py3-aiodocker-0.21.0-r1.apk
2024-10-25 20:12
29K
py3-aiodocker-pyc-0.21.0-r1.apk
2024-10-25 20:12
60K
py3-aiohttp-debugtoolbar-0.6.1-r2.apk
2024-10-25 20:12
446K
py3-aiohttp-debugtoolbar-pyc-0.6.1-r2.apk
2024-10-25 20:12
51K
py3-aiohttp-jinja2-1.6-r2.apk
2024-10-25 20:12
12K
py3-aiohttp-jinja2-pyc-1.6-r2.apk
2024-10-25 20:12
9.2K
py3-aiohttp-remotes-1.3.0-r0.apk
2024-11-04 12:28
10K
py3-aiohttp-remotes-pyc-1.3.0-r0.apk
2024-11-04 12:28
19K
py3-aiohttp-session-2.12.1-r0.apk
2024-10-25 20:12
10K
py3-aiohttp-session-pyc-2.12.1-r0.apk
2024-10-25 20:12
15K
py3-aioopenssl-0.6.0-r4.apk
2024-10-25 20:12
21K
py3-aioopenssl-pyc-0.6.0-r4.apk
2024-10-25 20:12
19K
py3-aiosasl-0.5.0-r4.apk
2024-10-25 20:12
30K
py3-aiosasl-doc-0.5.0-r4.apk
2024-10-25 20:12
16K
py3-aiosasl-pyc-0.5.0-r4.apk
2024-10-25 20:12
24K
py3-aiosmb-0.4.14-r0.apk
2025-11-03 06:49
585K
py3-aiosmb-examples-0.4.14-r0.apk
2025-11-03 06:49
38K
py3-aiosmb-pyc-0.4.14-r0.apk
2025-11-03 06:49
1.2M
py3-aiowinreg-0.0.13-r0.apk
2025-11-03 06:49
22K
py3-aiowinreg-pyc-0.0.13-r0.apk
2025-11-03 06:49
44K
py3-aioxmpp-0.13.3-r3.apk
2024-10-25 20:12
388K
py3-aioxmpp-doc-0.13.3-r3.apk
2024-10-25 20:12
18K
py3-aioxmpp-pyc-0.13.3-r3.apk
2024-10-25 20:12
673K
py3-allfiles-1.0-r8.apk
2024-10-25 20:12
3.6K
py3-allfiles-pyc-1.0-r8.apk
2024-10-25 20:12
3.3K
py3-altgraph-0.17.4-r1.apk
2024-10-25 20:12
21K
py3-altgraph-pyc-0.17.4-r1.apk
2024-10-25 20:12
29K
py3-ansible-pylibssh-1.2.2-r0.apk
2025-07-18 07:52
239K
py3-anyascii-0.3.2-r1.apk
2024-10-25 20:12
275K
py3-anyascii-pyc-0.3.2-r1.apk
2024-10-25 20:12
3.3K
py3-apicula-0.11.1-r1.apk
2024-10-25 20:12
8.5M
py3-apicula-pyc-0.11.1-r1.apk
2024-10-25 20:12
179K
py3-apio-0.9.5-r0.apk
2024-10-25 20:12
72K
py3-apio-pyc-0.9.5-r0.apk
2024-10-25 20:12
77K
py3-apsw-3.51.2.0-r0.apk
2026-01-23 07:59
889K
py3-apsw-pyc-3.51.2.0-r0.apk
2026-01-23 07:59
586K
py3-apt-3.1.0-r0.apk
2025-12-21 03:05
177K
py3-apt-lang-3.1.0-r0.apk
2025-12-21 03:05
80K
py3-apt-pyc-3.1.0-r0.apk
2025-12-21 03:05
119K
py3-arcus-5.3.0-r5.apk
2025-06-12 14:06
89K
py3-asif-0.3.2-r3.apk
2024-10-25 20:12
13K
py3-asif-pyc-0.3.2-r3.apk
2024-10-25 20:12
26K
py3-ask-0.0.8-r8.apk
2024-10-25 20:12
5.0K
py3-ask-pyc-0.0.8-r8.apk
2024-10-25 20:12
4.5K
py3-astral-3.2-r3.apk
2024-10-25 20:12
37K
py3-astral-pyc-3.2-r3.apk
2024-10-25 20:12
59K
py3-asyauth-0.0.23-r0.apk
2025-11-03 06:49
84K
py3-asyauth-pyc-0.0.23-r0.apk
2025-11-03 06:49
186K
py3-asysocks-0.2.18-r0.apk
2025-11-03 06:49
88K
py3-asysocks-examples-0.2.18-r0.apk
2025-11-03 06:49
36K
py3-asysocks-pyc-0.2.18-r0.apk
2025-11-03 06:49
320K
py3-avro-1.11.3-r1.apk
2024-10-25 20:12
98K
py3-avro-pyc-1.11.3-r1.apk
2024-10-25 20:12
191K
py3-b2sdk-2.10.2-r0.apk
2025-12-16 18:41
227K
py3-b2sdk-pyc-2.10.2-r0.apk
2025-12-16 18:41
429K
py3-banal-1.0.6-r4.apk
2024-10-25 20:12
6.9K
py3-banal-pyc-1.0.6-r4.apk
2024-10-25 20:12
7.2K
py3-barcodenumber-0.2.1-r10.apk
2024-10-25 20:12
16K
py3-barcodenumber-pyc-0.2.1-r10.apk
2024-10-25 20:12
4.3K
py3-beartype-0.22.9-r0.apk
2025-12-15 18:33
1.0M
py3-beartype-pyc-0.22.9-r0.apk
2025-12-15 18:33
744K
py3-bencode-4.0.0-r1.apk
2024-10-25 20:12
17K
py3-bencode-pyc-4.0.0-r1.apk
2024-10-25 20:12
10K
py3-bibtexparser-1.4.3-r0.apk
2025-01-19 11:55
40K
py3-bibtexparser-pyc-1.4.3-r0.apk
2025-01-19 11:55
49K
py3-bidict-0.23.1-r1.apk
2024-10-25 20:12
28K
py3-bidict-pyc-0.23.1-r1.apk
2024-10-25 20:12
29K
py3-bite-parser-0.2.5-r0.apk
2024-10-28 21:51
14K
py3-bite-parser-pyc-0.2.5-r0.apk
2024-10-28 21:51
23K
py3-bitstruct-8.19.0-r1.apk
2024-10-25 20:12
35K
py3-bitstruct-pyc-8.19.0-r1.apk
2024-10-25 20:12
13K
py3-bleak-0.22.3-r0.apk
2024-10-25 20:12
370K
py3-blockchain-1.4.4-r7.apk
2024-10-25 20:12
11K
py3-blockchain-pyc-1.4.4-r7.apk
2024-10-25 20:12
18K
py3-blockdiag-3.0.0-r6.apk
2025-01-10 12:38
68K
py3-blockdiag-pyc-3.0.0-r6.apk
2025-01-10 12:38
149K
py3-blockdiag-tests-3.0.0-r6.apk
2025-01-10 12:38
2.5M
py3-bookkeeper-4.17.2-r0.apk
2025-07-13 21:41
43K
py3-bookkeeper-pyc-4.17.2-r0.apk
2025-07-13 21:41
67K
py3-bottle-api-0.0.4-r7.apk
2024-10-25 20:12
4.9K
py3-bottle-api-pyc-0.0.4-r7.apk
2024-10-25 20:12
5.2K
py3-bottle-pgsql-0.2-r5.apk
2024-10-25 20:12
4.3K
py3-bottle-redis-0.2.3-r6.apk
2024-10-25 20:12
3.3K
py3-bottle-redis-pyc-0.2.3-r6.apk
2024-10-25 20:12
3.1K
py3-bottle-renderer-0.1.1-r9.apk
2024-10-25 20:12
4.0K
py3-bottle-renderer-pyc-0.1.1-r9.apk
2024-10-25 20:12
3.7K
py3-bottle-request-0.2.0-r9.apk
2024-10-25 20:12
3.2K
py3-bottle-request-pyc-0.2.0-r9.apk
2024-10-25 20:12
2.6K
py3-bottle-rest-0.6.0-r1.apk
2024-10-25 20:12
6.1K
py3-bottle-rest-pyc-0.6.0-r1.apk
2024-10-25 20:12
5.2K
py3-bottle-session-1.0-r6.apk
2024-10-25 20:12
10K
py3-bottle-session-pyc-1.0-r6.apk
2024-10-25 20:12
7.8K
py3-bottle-sqlalchemy-0.4.3-r8.apk
2024-10-25 20:12
4.8K
py3-bottle-sqlalchemy-pyc-0.4.3-r8.apk
2024-10-25 20:12
5.6K
py3-bottle-sqlite-0.2.0-r7.apk
2024-10-25 20:12
4.7K
py3-bottle-sqlite-pyc-0.2.0-r7.apk
2024-10-25 20:12
5.2K
py3-bottle-websocket-0.2.9-r8.apk
2024-10-25 20:12
4.6K
py3-bottle-websocket-pyc-0.2.9-r8.apk
2024-10-25 20:12
3.1K
py3-bottle-werkzeug-0.1.1-r9.apk
2024-10-25 20:12
4.1K
py3-bottle-werkzeug-pyc-0.1.1-r9.apk
2024-10-25 20:12
4.3K
py3-bson-0.5.10-r6.apk
2024-10-25 20:12
12K
py3-bson-pyc-0.5.10-r6.apk
2024-10-25 20:12
19K
py3-businesstime-0.3.0-r9.apk
2024-10-25 20:12
11K
py3-businesstime-pyc-0.3.0-r9.apk
2024-10-25 20:12
16K
py3-c3d-0.6.0-r1.apk
2026-01-19 14:36
32K
py3-c3d-pyc-0.6.0-r1.apk
2026-01-19 14:36
54K
py3-caldav-2.2.3-r0.apk
2025-12-07 19:13
113K
py3-caldav-pyc-2.2.3-r0.apk
2025-12-07 19:13
154K
py3-cassandra-driver-3.29.2-r0.apk
2024-10-25 20:12
286K
py3-cassandra-driver-pyc-3.29.2-r0.apk
2024-10-25 20:12
560K
py3-catkin-pkg-0.5.2-r4.apk
2024-10-25 20:12
57K
py3-catkin-pkg-pyc-0.5.2-r4.apk
2024-10-25 20:12
103K
py3-cchardet-2.1.7-r5.apk
2024-10-25 20:12
124K
py3-cchardet-pyc-2.1.7-r5.apk
2024-10-25 20:12
3.1K
py3-cdio-2.1.1-r6.apk
2025-01-20 20:43
102K
py3-cdio-pyc-2.1.1-r6.apk
2025-01-20 20:43
43K
py3-certauth-1.3.0-r1.apk
2024-10-25 20:12
8.7K
py3-certauth-pyc-1.3.0-r1.apk
2024-10-25 20:12
9.1K
py3-chameleon-4.6.0-r0.apk
2025-07-06 09:17
97K
py3-chameleon-pyc-4.6.0-r0.apk
2025-07-06 09:17
131K
py3-ciso8601-2.3.3-r0.apk
2025-11-10 17:32
16K
py3-class-doc-1.25-r1.apk
2024-10-25 20:12
6.1K
py3-class-doc-pyc-1.25-r1.apk
2024-10-25 20:12
8.7K
py3-click-completion-0.5.2-r1.apk
2024-10-25 20:12
11K
py3-click-completion-pyc-0.5.2-r1.apk
2024-10-25 20:12
14K
py3-click-default-group-1.2.4-r1.apk
2024-10-25 20:12
5.1K
py3-click-default-group-pyc-1.2.4-r1.apk
2024-10-25 20:12
4.5K
py3-click-threading-0.5.0-r5.apk
2024-10-25 20:12
6.3K
py3-click-threading-pyc-0.5.0-r5.apk
2024-10-25 20:12
7.9K
py3-clickclick-20.10.2-r4.apk
2024-10-25 20:12
8.0K
py3-clickclick-pyc-20.10.2-r4.apk
2024-10-25 20:12
9.8K
py3-cmd2-2.4.3-r2.apk
2024-10-25 20:12
139K
py3-cmd2-pyc-2.4.3-r2.apk
2024-10-25 20:12
223K
py3-cobs-1.2.0-r4.apk
2024-10-25 20:12
19K
py3-cobs-pyc-1.2.0-r4.apk
2024-10-25 20:12
12K
py3-colander-2.0-r2.apk
2024-10-25 20:12
62K
py3-colander-pyc-2.0-r2.apk
2024-10-25 20:12
42K
py3-colorthief-0.2.1-r1.apk
2024-10-25 20:12
7.3K
py3-colorthief-pyc-0.2.1-r1.apk
2024-10-25 20:12
10K
py3-columnize-0.3.11-r4.apk
2024-10-25 20:12
8.5K
py3-columnize-pyc-0.3.11-r4.apk
2024-10-25 20:12
7.5K
py3-compdb-0.2.0-r8.apk
2024-10-25 20:12
23K
py3-compdb-doc-0.2.0-r8.apk
2024-10-25 20:12
3.0K
py3-compdb-pyc-0.2.0-r8.apk
2024-10-25 20:12
40K
py3-confusable-homoglyphs-3.3.1-r0.apk
2025-09-26 11:17
137K
py3-confusable-homoglyphs-pyc-3.3.1-r0.apk
2025-09-26 11:17
9.4K
py3-cookiecutter-2.6.0-r1.apk
2024-10-25 20:12
35K
py3-cookiecutter-doc-2.6.0-r1.apk
2024-10-25 20:12
3.7K
py3-cookiecutter-pyc-2.6.0-r1.apk
2024-10-25 20:12
47K
py3-coreapi-2.3.3-r9.apk
2024-10-25 20:12
22K
py3-coreapi-pyc-2.3.3-r9.apk
2024-10-25 20:12
43K
py3-crc16-0.1.1-r10.apk
2024-10-25 20:12
12K
py3-crc16-pyc-0.1.1-r10.apk
2024-10-25 20:12
4.7K
py3-createrepo_c-1.1.4-r1.apk
2025-12-31 18:36
42K
py3-createrepo_c-pyc-1.1.4-r1.apk
2025-12-31 18:36
15K
py3-croniter-6.0.0-r0.apk
2025-08-25 09:05
26K
py3-croniter-pyc-6.0.0-r0.apk
2025-08-25 09:05
26K
py3-cryptg-0.5.0-r0.apk
2025-05-08 12:15
195K
py3-cryptg-pyc-0.5.0-r0.apk
2025-05-08 12:15
1.9K
py3-cssutils-2.11.1-r1.apk
2024-10-25 20:12
155K
py3-cssutils-pyc-2.11.1-r1.apk
2024-10-25 20:12
279K
py3-cstruct-6.1-r0.apk
2025-08-21 23:07
23K
py3-cstruct-pyc-6.1-r0.apk
2025-08-21 23:07
38K
py3-cucumber-tag-expressions-6.1.1-r0.apk
2024-12-01 20:13
8.7K
py3-cucumber-tag-expressions-pyc-6.1.1-r0.apk
2024-12-01 20:13
10K
py3-cvxpy-1.2.1-r5.apk
2024-10-25 20:12
680K
py3-cvxpy-pyc-1.2.1-r5.apk
2024-10-25 20:12
936K
py3-cython-test-exception-raiser-25.11.0-r0.apk
2025-11-11 19:23
19K
py3-cython-test-exception-raiser-pyc-25.11.0-r0.apk
2025-11-11 19:23
1.8K
py3-dash-bootstrap-components-1.6.0-r0.apk
2025-04-13 09:22
16K
py3-dataclasses-json-0.6.7-r0.apk
2024-10-25 20:12
27K
py3-dataclasses-json-pyc-0.6.7-r0.apk
2024-10-25 20:12
36K
py3-dataclasses-serialization-1.3.1-r3.apk
2024-10-25 20:12
11K
py3-dataclasses-serialization-pyc-1.3.1-r3.apk
2024-10-25 20:12
14K
py3-dateparser-1.2.2-r0.apk
2025-07-06 19:46
216K
py3-dateparser-pyc-1.2.2-r0.apk
2025-07-06 19:46
335K
py3-daterangestr-0.0.3-r8.apk
2024-10-25 20:12
4.4K
py3-daterangestr-pyc-0.0.3-r8.apk
2024-10-25 20:12
4.2K
py3-dbus-fast-3.1.2-r0.apk
2025-11-23 21:15
821K
py3-dbus-fast-doc-3.1.2-r0.apk
2025-11-23 21:15
6.2K
py3-dbus-fast-pyc-3.1.2-r0.apk
2025-11-23 21:15
130K
py3-deluge-client-1.10.2-r0.apk
2024-10-25 20:12
13K
py3-deluge-client-doc-1.10.2-r0.apk
2024-10-25 20:12
2.3K
py3-deluge-client-pyc-1.10.2-r0.apk
2024-10-25 20:12
20K
py3-dexml-0.5.1-r9.apk
2024-10-25 20:12
22K
py3-dexml-pyc-0.5.1-r9.apk
2024-10-25 20:12
37K
py3-discid-1.3.0-r0.apk
2025-07-28 21:30
13K
py3-discid-pyc-1.3.0-r0.apk
2025-07-28 21:30
13K
py3-distorm3-3.5.2-r6.apk
2024-10-25 20:12
47K
py3-distorm3-pyc-3.5.2-r6.apk
2024-10-25 20:12
49K
py3-django-compress-staticfiles-1.0.1_beta0-r6.apk
2024-10-25 20:12
15K
py3-django-compress-staticfiles-pyc-1.0.1_beta0-r6.apk
2024-10-25 20:12
15K
py3-django-suit-0.2.28-r8.apk
2024-10-25 20:12
366K
py3-django-suit-pyc-0.2.28-r8.apk
2024-10-25 20:12
33K
py3-django-taggit-serializer-0.1.7-r8.apk
2024-10-25 20:12
4.0K
py3-django-taggit-serializer-pyc-0.1.7-r8.apk
2024-10-25 20:12
5.0K
py3-dnslib-0.9.26-r0.apk
2025-09-01 18:15
56K
py3-dnslib-pyc-0.9.26-r0.apk
2025-09-01 18:15
109K
py3-dogpile.cache-1.3.3-r1.apk
2025-05-14 18:17
53K
py3-dogpile.cache-pyc-1.3.3-r1.apk
2025-05-14 18:17
90K
py3-doi-0.2-r0.apk
2025-04-12 12:09
6.2K
py3-doi-pyc-0.2-r0.apk
2025-04-12 12:09
4.7K
py3-doit-0.36.0-r5.apk
2024-10-25 20:12
77K
py3-doit-pyc-0.36.0-r5.apk
2024-10-25 20:12
133K
py3-dominate-2.9.1-r1.apk
2024-10-25 20:12
25K
py3-dominate-pyc-2.9.1-r1.apk
2024-10-25 20:12
34K
py3-dotty-dict-1.3.1-r4.apk
2024-10-25 20:12
8.4K
py3-dotty-dict-pyc-1.3.1-r4.apk
2024-10-25 20:12
8.7K
py3-downloader-cli-0.3.4-r2.apk
2025-05-14 18:17
11K
py3-downloader-cli-pyc-0.3.4-r2.apk
2025-05-14 18:17
14K
py3-dpath-2.2.0-r0.apk
2024-10-25 20:12
17K
py3-dpath-pyc-2.2.0-r0.apk
2024-10-25 20:12
18K
py3-drf-yasg-1.21.10-r0.apk
2025-07-15 08:26
4.0M
py3-drf-yasg-pyc-1.21.10-r0.apk
2025-07-15 08:26
98K
py3-dunamai-1.25.0-r0.apk
2025-07-25 22:23
27K
py3-dunamai-pyc-1.25.0-r0.apk
2025-07-25 22:23
44K
py3-duniterpy-1.1.1-r3.apk
2024-10-25 20:12
221K
py3-dweepy-0.3.0-r7.apk
2024-10-25 20:12
9.1K
py3-dweepy-pyc-0.3.0-r7.apk
2024-10-25 20:12
6.3K
py3-ecbdata-0.1.1-r0.apk
2025-04-13 23:24
13K
py3-ecos-2.0.11-r4.apk
2024-10-25 20:12
27K
py3-ecos-pyc-2.0.11-r4.apk
2024-10-25 20:12
3.6K
py3-edalize-0.5.4-r0.apk
2024-10-25 20:12
123K
py3-edalize-pyc-0.5.4-r0.apk
2024-10-25 20:12
190K
py3-editdistance-s-1.0.0-r6.apk
2024-10-25 20:12
17K
py3-editdistance-s-pyc-1.0.0-r6.apk
2024-10-25 20:12
2.0K
py3-empy-3.3.4-r7.apk
2024-10-25 20:12
39K
py3-empy-pyc-3.3.4-r7.apk
2024-10-25 20:12
58K
py3-engineio-4.12.3-r0.apk
2025-11-27 09:35
49K
py3-engineio-doc-4.12.3-r0.apk
2025-11-27 09:35
33K
py3-engineio-pyc-4.12.3-r0.apk
2025-11-27 09:35
104K
py3-enlighten-1.14.1-r0.apk
2025-08-28 10:50
37K
py3-enlighten-pyc-1.14.1-r0.apk
2025-08-28 10:50
46K
py3-enzyme-0.5.2-r0.apk
2025-07-12 15:29
23K
py3-enzyme-pyc-0.5.2-r0.apk
2025-07-12 15:29
19K
py3-eradicate-2.3.0-r2.apk
2024-10-25 20:12
7.6K
py3-eradicate-doc-2.3.0-r2.apk
2024-10-25 20:12
2.5K
py3-eradicate-pyc-2.3.0-r2.apk
2024-10-25 20:12
8.3K
py3-euclid3-0.01-r8.apk
2024-10-25 20:12
14K
py3-euclid3-pyc-0.01-r8.apk
2024-10-25 20:12
33K
py3-evalidate-2.1.3-r0.apk
2025-12-16 14:54
14K
py3-evalidate-pyc-2.1.3-r0.apk
2025-12-16 14:54
12K
py3-eventlet-0.38.1-r0.apk
2024-12-11 21:35
332K
py3-eventlet-pyc-0.38.1-r0.apk
2024-12-11 21:35
336K
py3-evohome-client-0.3.9-r0.apk
2025-07-13 21:33
19K
py3-evohome-client-pyc-0.3.9-r0.apk
2025-07-13 21:33
27K
py3-fastdiff-0.3.0-r5.apk
2024-10-25 20:12
38K
py3-fastdiff-pyc-0.3.0-r5.apk
2024-10-25 20:12
4.2K
py3-feedgen-1.0.0-r1.apk
2024-10-25 20:12
40K
py3-feedgen-pyc-1.0.0-r1.apk
2024-10-25 20:12
62K
py3-feedgenerator-2.1.0-r2.apk
2024-10-25 20:12
18K
py3-feedgenerator-pyc-2.1.0-r2.apk
2024-10-25 20:12
27K
py3-ffmpeg-0.2.0-r5.apk
2025-05-14 18:17
24K
py3-ffmpeg-pyc-0.2.0-r5.apk
2025-05-14 18:17
33K
py3-firmata-1.0.3-r10.apk
2024-10-25 20:12
14K
py3-firmata-pyc-1.0.3-r10.apk
2024-10-25 20:12
21K
py3-flake8-blind-except-0.2.1-r4.apk
2024-10-25 20:12
5.2K
py3-flake8-blind-except-pyc-0.2.1-r4.apk
2024-10-25 20:12
2.6K
py3-flake8-builtins-2.5.0-r0.apk
2024-12-07 21:51
13K
py3-flake8-builtins-pyc-2.5.0-r0.apk
2024-12-07 21:51
7.9K
py3-flake8-copyright-0.2.4-r3.apk
2024-10-25 20:12
18K
py3-flake8-copyright-pyc-0.2.4-r3.apk
2024-10-25 20:12
3.3K
py3-flake8-debugger-4.1.2-r4.apk
2024-10-25 20:12
6.2K
py3-flake8-debugger-pyc-4.1.2-r4.apk
2024-10-25 20:12
6.0K
py3-flake8-import-order-0.18.2-r4.apk
2024-10-25 20:12
15K
py3-flake8-import-order-pyc-0.18.2-r4.apk
2024-10-25 20:12
17K
py3-flake8-isort-7.0.0-r0.apk
2025-10-25 20:13
18K
py3-flake8-isort-pyc-7.0.0-r0.apk
2025-10-25 20:13
5.4K
py3-flake8-polyfill-1.0.2-r5.apk
2024-10-25 20:12
7.1K
py3-flake8-polyfill-pyc-1.0.2-r5.apk
2024-10-25 20:12
5.7K
py3-flake8-print-5.0.0-r5.apk
2024-10-25 20:12
6.7K
py3-flake8-print-pyc-5.0.0-r5.apk
2024-10-25 20:12
4.4K
py3-flake8-snippets-0.2-r8.apk
2024-10-25 20:12
5.3K
py3-flake8-snippets-pyc-0.2-r8.apk
2024-10-25 20:12
3.7K
py3-flake8-todo-0.7-r7.apk
2024-10-25 20:12
3.6K
py3-flake8-todo-pyc-0.7-r7.apk
2024-10-25 20:12
2.2K
py3-flask-accept-0.0.7-r0.apk
2025-07-12 15:29
5.6K
py3-flask-accept-pyc-0.0.7-r0.apk
2025-07-12 15:29
3.7K
py3-flask-admin-1.6.1-r3.apk
2024-10-25 20:12
6.5M
py3-flask-admin-pyc-1.6.1-r3.apk
2024-10-25 20:12
358K
py3-flask-autorouter-0.2.2-r3.apk
2024-10-25 20:12
5.1K
py3-flask-autorouter-pyc-0.2.2-r3.apk
2024-10-25 20:12
5.0K
py3-flask-basicauth-0.2.0-r9.apk
2024-10-25 20:12
5.3K
py3-flask-basicauth-pyc-0.2.0-r9.apk
2024-10-25 20:12
4.1K
py3-flask-bcrypt-1.0.1-r5.apk
2024-10-25 20:12
7.1K
py3-flask-bcrypt-pyc-1.0.1-r5.apk
2024-10-25 20:12
5.8K
py3-flask-bootstrap-3.3.7.1-r9.apk
2025-05-12 21:41
449K
py3-flask-bootstrap-pyc-3.3.7.1-r9.apk
2025-05-12 21:41
11K
py3-flask-cache-0.13.1-r9.apk
2024-10-25 20:12
13K
py3-flask-cache-pyc-0.13.1-r9.apk
2024-10-25 20:12
18K
py3-flask-cdn-1.5.3-r8.apk
2024-10-25 20:12
4.8K
py3-flask-cdn-pyc-1.5.3-r8.apk
2024-10-25 20:12
4.1K
py3-flask-components-0.1.1-r9.apk
2024-10-25 20:12
3.9K
py3-flask-components-pyc-0.1.1-r9.apk
2024-10-25 20:12
3.3K
py3-flask-dbconfig-0.3.12-r8.apk
2024-10-25 20:12
86K
py3-flask-dbconfig-pyc-0.3.12-r8.apk
2024-10-25 20:12
6.3K
py3-flask-flatpages-0.8.3-r0.apk
2024-12-06 22:58
11K
py3-flask-flatpages-pyc-0.8.3-r0.apk
2024-12-06 22:58
14K
py3-flask-gzip-0.2-r8.apk
2024-10-25 20:12
3.2K
py3-flask-gzip-pyc-0.2-r8.apk
2024-10-25 20:12
2.8K
py3-flask-headers-1.0-r9.apk
2024-10-25 20:12
3.2K
py3-flask-headers-pyc-1.0-r9.apk
2024-10-25 20:12
2.5K
py3-flask-httpauth-4.8.0-r3.apk
2025-11-29 11:38
7.8K
py3-flask-httpauth-pyc-4.8.0-r3.apk
2025-11-29 11:38
11K
py3-flask-json-schema-0.0.5-r4.apk
2024-10-25 20:12
4.1K
py3-flask-json-schema-pyc-0.0.5-r4.apk
2024-10-25 20:12
3.4K
py3-flask-limiter-3.10.1-r0.apk
2025-01-19 17:13
27K
py3-flask-limiter-pyc-3.10.1-r0.apk
2025-01-19 17:13
47K
py3-flask-loopback-1.4.7-r7.apk
2024-10-25 20:12
5.6K
py3-flask-loopback-pyc-1.4.7-r7.apk
2024-10-25 20:12
7.9K
py3-flask-mailman-1.1.1-r0.apk
2024-10-25 20:12
16K
py3-flask-mailman-pyc-1.1.1-r0.apk
2024-10-25 20:12
26K
py3-flask-markdown-0.3-r8.apk
2024-10-25 20:12
5.6K
py3-flask-markdown-pyc-0.3-r8.apk
2024-10-25 20:12
3.9K
py3-flask-migrate-4.1.0-r0.apk
2025-07-13 21:53
13K
py3-flask-migrate-pyc-4.1.0-r0.apk
2025-07-13 21:53
18K
py3-flask-paginate-0.8.1-r6.apk
2024-10-25 20:12
8.2K
py3-flask-paginate-pyc-0.8.1-r6.apk
2024-10-25 20:12
11K
py3-flask-peewee-3.0.6-r0.apk
2024-10-25 20:12
172K
py3-flask-peewee-pyc-3.0.6-r0.apk
2024-10-25 20:12
95K
py3-flask-qrcode-3.2.0-r0.apk
2024-12-12 06:32
18K
py3-flask-qrcode-pyc-3.2.0-r0.apk
2024-12-12 06:32
6.2K
py3-flask-restaction-0.25.3-r8.apk
2024-10-25 20:12
115K
py3-flask-restaction-pyc-0.25.3-r8.apk
2024-10-25 20:12
20K
py3-flask-restless-0.17.0-r9.apk
2024-10-25 20:12
40K
py3-flask-restless-pyc-0.17.0-r9.apk
2024-10-25 20:12
59K
py3-flask-security-5.6.2-r0.apk
2025-11-10 03:17
297K
py3-flask-security-pyc-5.6.2-r0.apk
2025-11-10 03:17
227K
py3-flask-socketio-5.5.1-r0.apk
2025-09-06 20:30
18K
py3-flask-socketio-doc-5.5.1-r0.apk
2025-09-06 20:30
23K
py3-flask-socketio-pyc-5.5.1-r0.apk
2025-09-06 20:30
26K
py3-flask-themer-2.0.0-r2.apk
2024-10-25 20:12
7.9K
py3-flask-themer-pyc-2.0.0-r2.apk
2024-10-25 20:12
7.0K
py3-forbiddenfruit-0.1.4-r2.apk
2024-10-25 20:12
9.0K
py3-forbiddenfruit-pyc-0.1.4-r2.apk
2024-10-25 20:12
9.7K
py3-fpdf-1.7.2-r5.apk
2024-10-25 20:12
40K
py3-fpdf-pyc-1.7.2-r5.apk
2024-10-25 20:12
89K
py3-freetype-py-2.5.1-r0.apk
2024-10-25 20:12
161K
py3-furl-2.1.4-r0.apk
2025-07-13 21:52
28K
py3-furl-pyc-2.1.4-r0.apk
2025-07-13 21:52
32K
py3-gdcm-3.2.2-r3.apk
2025-12-02 05:43
695K
py3-geoip-1.3.2-r4.apk
2024-10-25 20:12
23K
py3-gevent-websocket-0.10.1-r8.apk
2024-10-25 20:12
20K
py3-gevent-websocket-pyc-0.10.1-r8.apk
2024-10-25 20:12
30K
py3-git-versioner-7.1-r1.apk
2024-10-25 20:12
12K
py3-git-versioner-pyc-7.1-r1.apk
2024-10-25 20:12
14K
py3-github3-4.0.1-r1.apk
2024-10-25 20:12
128K
py3-github3-pyc-4.0.1-r1.apk
2024-10-25 20:12
227K
py3-glob2-0.7-r6.apk
2024-10-25 20:12
10K
py3-glob2-pyc-0.7-r6.apk
2024-10-25 20:12
13K
py3-gls-1.3.1-r1.apk
2024-10-25 20:12
47K
py3-gls-pyc-1.3.1-r1.apk
2024-10-25 20:12
84K
py3-google-trans-new-1.1.9-r2.apk
2024-10-25 20:12
9.2K
py3-google-trans-new-pyc-1.1.9-r2.apk
2024-10-25 20:12
11K
py3-googletrans-3.0.0-r5.apk
2024-10-25 20:12
15K
py3-googletrans-pyc-3.0.0-r5.apk
2024-10-25 20:12
17K
py3-grequests-0.7.0-r3.apk
2025-05-14 18:17
6.7K
py3-grequests-pyc-0.7.0-r3.apk
2025-05-14 18:17
5.8K
py3-gtkspellcheck-5.0.3-r1.apk
2025-08-09 02:08
45K
py3-gtkspellcheck-pyc-5.0.3-r1.apk
2025-08-09 02:08
30K
py3-halo-0.0.31-r5.apk
2024-10-25 20:12
11K
py3-halo-pyc-0.0.31-r5.apk
2024-10-25 20:12
14K
py3-hatch-openzim-0.2.0-r0.apk
2024-10-25 20:12
25K
py3-hatch-openzim-bootstrap-0.1.0-r0.apk
2024-10-25 20:12
24K
py3-hatch-openzim-bootstrap-pyc-0.1.0-r0.apk
2024-10-25 20:12
13K
py3-hatch-openzim-pyc-0.2.0-r0.apk
2024-10-25 20:12
13K
py3-helper-2.5.0-r5.apk
2024-10-25 20:12
19K
py3-helper-pyc-2.5.0-r5.apk
2024-10-25 20:12
28K
py3-hfst-3.16.2-r1.apk
2026-01-19 14:36
383K
py3-hg-git-1.1.1-r1.apk
2024-10-25 20:12
70K
py3-hg-git-pyc-1.1.1-r1.apk
2024-10-25 20:12
107K
py3-himitsu-0.0.9-r0.apk
2025-08-25 10:58
5.8K
py3-himitsu-pyc-0.0.9-r0.apk
2025-08-25 10:58
7.5K
py3-hishel-0.1.4-r0.apk
2025-10-15 12:50
77K
py3-hishel-pyc-0.1.4-r0.apk
2025-10-15 12:50
144K
py3-html5-parser-0.4.12-r1.apk
2024-10-25 20:12
167K
py3-html5-parser-pyc-0.4.12-r1.apk
2024-10-25 20:12
22K
py3-hurry.filesize-0.9-r8.apk
2024-10-25 20:12
4.6K
py3-hurry.filesize-pyc-0.9-r8.apk
2024-10-25 20:12
3.3K
py3-icalendar-searcher-1.0.3-r0.apk
2025-12-07 19:13
35K
py3-icalendar-searcher-pyc-1.0.3-r0.apk
2025-12-07 19:13
26K
py3-igraph-1.0.0-r0.apk
2025-10-28 02:10
412K
py3-igraph-dev-1.0.0-r0.apk
2025-10-28 02:10
2.6K
py3-igraph-pyc-1.0.0-r0.apk
2025-10-28 02:10
374K
py3-imageio-2.37.0-r0.apk
2025-07-11 22:13
285K
py3-imageio-ffmpeg-0.4.9-r1.apk
2024-10-25 20:12
16K
py3-imageio-ffmpeg-pyc-0.4.9-r1.apk
2024-10-25 20:12
20K
py3-imageio-pyc-2.37.0-r0.apk
2025-07-11 22:13
504K
py3-imdbpy-2021.4.18-r5.apk
2024-10-25 20:12
229K
py3-imdbpy-pyc-2021.4.18-r5.apk
2024-10-25 20:12
243K
py3-incoming-0.3.1-r8.apk
2024-10-25 20:12
13K
py3-incoming-pyc-0.3.1-r8.apk
2024-10-25 20:12
20K
py3-infinity-1.5-r6.apk
2024-10-25 20:12
4.4K
py3-infinity-pyc-1.5-r6.apk
2024-10-25 20:12
3.7K
py3-iniparse-0.5-r7.apk
2024-10-25 20:12
19K
py3-iniparse-doc-0.5-r7.apk
2024-10-25 20:12
10K
py3-iniparse-pyc-0.5-r7.apk
2024-10-25 20:12
25K
py3-intervals-0.9.2-r5.apk
2024-10-25 20:12
9.4K
py3-intervals-pyc-0.9.2-r5.apk
2024-10-25 20:12
15K
py3-ioctl-opt-1.3-r0.apk
2025-01-27 21:37
12K
py3-ioctl-opt-pyc-1.3-r0.apk
2025-01-27 21:37
4.6K
py3-irc-20.4.1-r1.apk
2025-10-06 06:09
40K
py3-irc-pyc-20.4.1-r1.apk
2025-10-06 06:09
70K
py3-isbnlib-3.10.14-r0.apk
2025-01-19 11:55
43K
py3-isbnlib-pyc-3.10.14-r0.apk
2025-01-19 11:55
67K
py3-iso639-lang-2.6.3-r0.apk
2025-12-04 14:22
311K
py3-iso639-lang-pyc-2.6.3-r0.apk
2025-12-04 14:22
12K
py3-itemadapter-0.10.0-r0.apk
2024-11-30 20:42
11K
py3-itemadapter-pyc-0.10.0-r0.apk
2024-11-30 20:42
13K
py3-itemloaders-1.3.2-r1.apk
2025-08-09 02:08
12K
py3-itemloaders-pyc-1.3.2-r1.apk
2025-08-09 02:08
17K
py3-iterable-io-1.0.1-r0.apk
2026-01-08 13:53
6.0K
py3-iterable-io-pyc-1.0.1-r0.apk
2026-01-08 13:53
5.4K
py3-itunespy-1.6-r5.apk
2025-05-14 18:17
9.7K
py3-itunespy-pyc-1.6-r5.apk
2025-05-14 18:17
15K
py3-janus-1.2.0-r0.apk
2024-12-13 04:57
12K
py3-janus-pyc-1.2.0-r0.apk
2024-12-13 04:57
13K
py3-jaraco.logging-3.4.0-r0.apk
2025-06-17 22:17
5.3K
py3-jaraco.logging-pyc-3.4.0-r0.apk
2025-06-17 22:17
6.1K
py3-jaraco.path-3.7.2-r0.apk
2024-10-25 20:12
7.6K
py3-jaraco.path-pyc-3.7.2-r0.apk
2024-10-25 20:12
9.5K
py3-jaraco.stream-3.0.4-r0.apk
2024-12-14 22:56
6.7K
py3-jaraco.stream-pyc-3.0.4-r0.apk
2024-12-14 22:56
8.1K
py3-jaraco.vcs-2.4.1-r0.apk
2025-03-09 08:52
10K
py3-jaraco.vcs-pyc-2.4.1-r0.apk
2025-03-09 08:52
16K
py3-jaraco.versioning-1.1.0-r0.apk
2024-10-25 20:12
5.9K
py3-jaraco.versioning-pyc-1.1.0-r0.apk
2024-10-25 20:12
6.1K
py3-joserfc-1.5.0-r0.apk
2025-12-04 14:22
54K
py3-joserfc-pyc-1.5.0-r0.apk
2025-12-04 14:22
110K
py3-kazoo-0_git20211202-r4.apk
2024-10-25 20:12
125K
py3-kazoo-pyc-0_git20211202-r4.apk
2024-10-25 20:12
245K
py3-keepalive-0.5-r5.apk
2024-10-25 20:12
9.0K
py3-keepalive-doc-0.5-r5.apk
2024-10-25 20:12
2.0K
py3-keepalive-pyc-0.5-r5.apk
2024-10-25 20:12
13K
py3-kerberos-1.3.1-r5.apk
2024-10-25 20:12
17K
py3-kikit-1.7.2-r0.apk
2025-05-08 21:35
239K
py3-kikit-pyc-1.7.2-r0.apk
2025-05-08 21:35
277K
py3-knowit-0.5.11-r0.apk
2025-11-23 23:11
32K
py3-knowit-pyc-0.5.11-r0.apk
2025-11-23 23:11
62K
py3-landlock-1.0.0_pre4-r2.apk
2024-10-25 20:12
8.4K
py3-landlock-pyc-1.0.0_pre4-r2.apk
2024-10-25 20:12
9.5K
py3-langcodes-3.3.0-r2.apk
2024-10-25 20:12
174K
py3-langcodes-pyc-3.3.0-r2.apk
2024-10-25 20:12
110K
py3-language-data-1.3.0-r0.apk
2024-12-01 20:08
5.0M
py3-language-data-pyc-1.3.0-r0.apk
2024-12-01 20:08
3.0M
py3-latex2mathml-3.78.1-r1.apk
2025-09-29 18:48
72K
py3-latex2mathml-pyc-3.78.1-r1.apk
2025-09-29 18:48
35K
py3-lib_users-0.15-r4.apk
2024-10-25 20:12
16K
py3-lib_users-pyc-0.15-r4.apk
2024-10-25 20:12
9.5K
py3-libacl-0.7.3-r0.apk
2025-10-16 22:05
26K
py3-libguestfs-1.56.1-r0.apk
2025-07-22 22:05
183K
py3-libiio-0.25-r2.apk
2024-10-25 20:12
13K
py3-liblarch-3.2.0-r6.apk
2024-12-08 21:39
30K
py3-liblarch-pyc-3.2.0-r6.apk
2024-12-08 21:39
50K
py3-libmdbx-0.10.2-r7.apk
2024-10-25 20:12
28K
py3-libmdbx-pyc-0.10.2-r7.apk
2024-10-25 20:12
33K
py3-libnacl-2.1.0-r1.apk
2024-10-25 20:12
20K
py3-libnacl-pyc-2.1.0-r1.apk
2024-10-25 20:12
30K
py3-libpyshell-0.4.1-r1.apk
2025-06-10 09:39
12K
py3-libpyshell-pyc-0.4.1-r1.apk
2025-06-10 09:39
18K
py3-librtmp-0.3.0-r6.apk
2024-10-25 20:12
35K
py3-librtmp-pyc-0.3.0-r6.apk
2024-10-25 20:12
25K
py3-limits-3.14.1-r0.apk
2024-12-25 16:22
33K
py3-limits-pyc-3.14.1-r0.apk
2024-12-25 16:22
71K
py3-linkify-it-py-2.0.3-r1.apk
2024-10-25 20:12
21K
py3-linkify-it-py-pyc-2.0.3-r1.apk
2024-10-25 20:12
23K
py3-litex-hub-modules-2024.04-r0.apk
2024-10-25 20:12
1.6K
py3-litex-hub-modules-pyc-2024.04-r0.apk
2024-10-25 20:12
1.1M
py3-litex-hub-pythondata-cpu-blackparrot-2024.04-r0.apk
2024-10-25 20:12
5.6M
py3-litex-hub-pythondata-cpu-cv32e40p-2024.04-r0.apk
2024-10-25 20:12
1.9M
py3-litex-hub-pythondata-cpu-cv32e41p-2024.04-r0.apk
2024-10-25 20:12
934K
py3-litex-hub-pythondata-cpu-cva5-2024.04-r0.apk
2024-10-25 20:12
500K
py3-litex-hub-pythondata-cpu-cva6-2024.04-r0.apk
2024-10-25 20:12
10M
py3-litex-hub-pythondata-cpu-ibex-2024.04-r0.apk
2024-10-25 20:12
1.8M
py3-litex-hub-pythondata-cpu-lm32-2024.04-r0.apk
2024-10-25 20:12
112K
py3-litex-hub-pythondata-cpu-marocchino-2024.04-r0.apk
2024-10-25 20:12
208K
py3-litex-hub-pythondata-cpu-microwatt-2024.04-r0.apk
2024-10-25 20:12
19M
py3-litex-hub-pythondata-cpu-minerva-2024.04-r0.apk
2024-10-25 20:12
45K
py3-litex-hub-pythondata-cpu-mor1kx-2024.04-r0.apk
2024-10-25 20:12
221K
py3-litex-hub-pythondata-cpu-naxriscv-2024.04-r0.apk
2024-10-25 20:12
7.6K
py3-litex-hub-pythondata-cpu-picorv32-2024.04-r0.apk
2024-10-25 20:12
230K
py3-litex-hub-pythondata-cpu-rocket-2024.04-r0.apk
2024-10-25 20:12
57M
py3-litex-hub-pythondata-cpu-serv-2024.04-r0.apk
2024-10-25 20:12
675K
py3-litex-hub-pythondata-cpu-vexriscv-2024.04-r0.apk
2024-10-25 20:12
713K
py3-litex-hub-pythondata-cpu-vexriscv_smp-2024.04-r0.apk
2024-10-25 20:12
2.4M
py3-litex-hub-pythondata-misc-tapcfg-2024.04-r0.apk
2024-10-25 20:12
58K
py3-litex-hub-pythondata-software-compiler_rt-2024.04-r0.apk
2024-10-25 20:12
2.2M
py3-litex-hub-pythondata-software-picolibc-2024.04-r0.apk
2024-10-25 20:12
4.7M
py3-litex-hub-valentyusb-2024.04-r0.apk
2024-10-25 20:12
112K
py3-livestream-2.1.0-r0.apk
2024-11-25 22:22
766K
py3-livestream-pyc-2.1.0-r0.apk
2024-11-25 22:22
30K
py3-log-symbols-0.0.14-r5.apk
2024-10-25 20:12
4.2K
py3-log-symbols-pyc-0.0.14-r5.apk
2024-10-25 20:12
3.1K
py3-logtop-0.7-r1.apk
2025-08-09 02:08
23K
py3-logtop-pyc-0.7-r1.apk
2025-08-09 02:08
4.1K
py3-lsp-black-2.0.0-r1.apk
2024-10-25 20:12
7.5K
py3-lsp-black-pyc-2.0.0-r1.apk
2024-10-25 20:12
6.4K
py3-lsp-mypy-0.7.0-r1.apk
2025-08-30 01:39
13K
py3-lsp-mypy-pyc-0.7.0-r1.apk
2025-08-30 01:39
13K
py3-lsprotocol-2023.0.1-r1.apk
2024-10-25 20:12
69K
py3-lsprotocol-pyc-2023.0.1-r1.apk
2024-10-25 20:12
107K
py3-luhn-0.2.0-r9.apk
2024-10-25 20:12
4.0K
py3-luhn-pyc-0.2.0-r9.apk
2024-10-25 20:12
2.6K
py3-lunr-0.6.2-r4.apk
2024-10-25 20:12
32K
py3-lunr-pyc-0.6.2-r4.apk
2024-10-25 20:12
51K
py3-lxmf-0.8.0-r0.apk
2025-09-23 21:45
51K
py3-lxmf-pyc-0.8.0-r0.apk
2025-09-23 21:45
109K
py3-ly-0.9.9-r0.apk
2025-07-12 21:56
187K
py3-ly-doc-0.9.9-r0.apk
2025-07-12 21:56
8.1K
py3-ly-pyc-0.9.9-r0.apk
2025-07-12 21:56
352K
py3-lzo-1.16-r1.apk
2024-10-25 20:12
17K
py3-lzo-pyc-1.16-r1.apk
2024-10-25 20:12
2.0K
py3-m2crypto-0.41.0-r2.apk
2024-10-25 20:12
195K
py3-m2crypto-pyc-0.41.0-r2.apk
2024-10-25 20:12
123K
py3-maidenhead-1.8.0-r0.apk
2025-08-10 21:04
7.9K
py3-maidenhead-doc-1.8.0-r0.apk
2025-08-10 21:04
3.7K
py3-maidenhead-pyc-1.8.0-r0.apk
2025-08-10 21:04
8.0K
py3-mando-0.8.2-r0.apk
2025-12-18 11:06
22K
py3-mando-doc-0.8.2-r0.apk
2025-12-18 11:06
4.2K
py3-mando-pyc-0.8.2-r0.apk
2025-12-18 11:06
35K
py3-manuel-1.13.0-r1.apk
2025-10-14 15:04
39K
py3-manuel-pyc-1.13.0-r1.apk
2025-10-14 15:04
26K
py3-mapbox-earcut-1.0.1-r2.apk
2024-10-25 20:12
63K
py3-marisa-trie-1.3.1-r0.apk
2025-08-29 09:32
135K
py3-markdown2-2.5.0-r0.apk
2024-10-25 20:12
47K
py3-markdown2-pyc-2.5.0-r0.apk
2024-10-25 20:12
75K
py3-markdownify-1.2.2-r0.apk
2025-11-27 16:00
16K
py3-markdownify-pyc-1.2.2-r0.apk
2025-11-27 16:00
18K
py3-marshmallow-3.26.1-r0.apk
2025-02-21 22:40
48K
py3-marshmallow-enum-1.5.1-r7.apk
2024-10-25 20:12
5.3K
py3-marshmallow-enum-pyc-1.5.1-r7.apk
2024-10-25 20:12
4.5K
py3-marshmallow-pyc-3.26.1-r0.apk
2025-02-21 22:40
85K
py3-mbedtls-2.10.1-r3.apk
2025-05-29 12:07
944K
py3-mbedtls-pyc-2.10.1-r3.apk
2025-05-29 12:07
27K
py3-meshtastic-2.7.2-r0.apk
2025-09-16 13:46
540K
py3-migen-0.9.2-r2.apk
2024-10-25 20:12
143K
py3-migen-pyc-0.9.2-r2.apk
2024-10-25 20:12
296K
py3-milc-1.9.1-r0.apk
2025-01-25 16:04
26K
py3-milc-pyc-1.9.1-r0.apk
2025-01-25 16:04
42K
py3-minidb-2.0.8-r0.apk
2024-11-13 18:50
10K
py3-minidb-pyc-2.0.8-r0.apk
2024-11-13 18:50
23K
py3-minidump-0.0.24-r1.apk
2025-05-29 12:07
64K
py3-minidump-pyc-0.0.24-r1.apk
2025-05-29 12:07
129K
py3-minikerberos-0.4.9-r0.apk
2025-11-03 06:49
123K
py3-minikerberos-examples-0.4.9-r0.apk
2025-11-03 06:49
17K
py3-minikerberos-pyc-0.4.9-r0.apk
2025-11-03 06:49
287K
py3-minio-7.2.18-r0.apk
2025-11-10 03:17
75K
py3-minio-pyc-7.2.18-r0.apk
2025-11-10 03:17
155K
py3-mistletoe-1.4.0-r0.apk
2025-03-19 11:46
45K
py3-mistletoe-pyc-1.4.0-r0.apk
2025-03-19 11:46
93K
py3-mistune1-0.8.4-r6.apk
2025-11-09 18:52
14K
py3-mistune1-pyc-0.8.4-r6.apk
2025-11-09 18:52
21K
py3-mnemonic-0.21-r0.apk
2024-10-25 20:12
95K
py3-mnemonic-doc-0.21-r0.apk
2024-10-25 20:12
2.4K
py3-mnemonic-pyc-0.21-r0.apk
2024-10-25 20:12
9.7K
py3-modbus-tk-1.1.1-r4.apk
2024-10-25 20:12
25K
py3-modbus-tk-pyc-1.1.1-r4.apk
2024-10-25 20:12
48K
py3-modern_colorthief-0.1.7-r0.apk
2025-06-16 18:11
808K
py3-modern_colorthief-pyc-0.1.7-r0.apk
2025-06-16 18:11
2.6K
py3-mopidy-jellyfin-1.0.4-r4.apk
2024-10-25 20:12
25K
py3-mopidy-jellyfin-pyc-1.0.4-r4.apk
2024-10-25 20:12
37K
py3-mopidy-local-3.3.0-r0.apk
2025-01-03 14:34
28K
py3-mopidy-local-pyc-3.3.0-r0.apk
2025-01-03 14:34
34K
py3-mopidy-mpd-3.3.0-r4.apk
2024-10-25 20:12
46K
py3-mopidy-mpd-pyc-3.3.0-r4.apk
2024-10-25 20:12
74K
py3-mopidy-spotify-5.0.0_alpha3-r0.apk
2026-01-09 18:55
24K
py3-mopidy-spotify-pyc-5.0.0_alpha3-r0.apk
2026-01-09 18:55
39K
py3-mopidy-tidal-0.3.2-r6.apk
2024-10-25 20:12
25K
py3-mopidy-tidal-pyc-0.3.2-r6.apk
2024-10-25 20:12
36K
py3-more-properties-1.1.1-r3.apk
2024-10-25 20:12
7.4K
py3-more-properties-pyc-1.1.1-r3.apk
2024-10-25 20:12
8.2K
py3-moviepy-1.0.3-r6.apk
2024-10-25 20:12
94K
py3-moviepy-pyc-1.0.3-r6.apk
2024-10-25 20:12
156K
py3-msldap-0.5.15-r2.apk
2025-10-17 15:06
127K
py3-msldap-examples-0.5.15-r2.apk
2025-10-17 15:06
18K
py3-msldap-pyc-0.5.15-r2.apk
2025-10-17 15:06
330K
py3-mss-10.0.0-r0.apk
2024-11-14 13:12
51K
py3-natpmp-1.3.2-r1.apk
2024-10-25 20:12
9.4K
py3-natpmp-pyc-1.3.2-r1.apk
2024-10-25 20:12
9.9K
py3-ncclient-0.6.13-r5.apk
2024-10-25 20:12
68K
py3-ncclient-pyc-0.6.13-r5.apk
2024-10-25 20:12
107K
py3-netifaces2-0.0.22-r0.apk
2024-10-25 20:12
195K
py3-netifaces2-pyc-0.0.22-r0.apk
2024-10-25 20:12
9.2K
py3-netmiko-4.6.0-r0.apk
2025-08-13 15:31
192K
py3-netmiko-pyc-4.6.0-r0.apk
2025-08-13 15:31
372K
py3-nmap-0.7.1-r4.apk
2024-10-25 20:12
20K
py3-nmap-pyc-0.7.1-r4.apk
2024-10-25 20:12
25K
py3-nose-timer-1.0.1-r6.apk
2024-10-25 20:12
9.4K
py3-nose-timer-pyc-1.0.1-r6.apk
2024-10-25 20:12
9.9K
py3-notifymail-1.1-r8.apk
2024-10-25 20:12
7.6K
py3-notifymail-pyc-1.1-r8.apk
2024-10-25 20:12
5.7K
py3-nptyping-2.5.0-r3.apk
2024-10-25 20:12
21K
py3-nptyping-pyc-2.5.0-r3.apk
2024-10-25 20:12
32K
py3-ntplib-0.4.0-r5.apk
2024-10-25 20:12
7.4K
py3-ntplib-pyc-0.4.0-r5.apk
2024-10-25 20:12
8.6K
py3-numpy-stl-3.2.0-r0.apk
2024-12-01 02:04
21K
py3-numpy-stl-pyc-3.2.0-r0.apk
2024-12-01 02:04
28K
py3-nwdiag-3.0.0-r3.apk
2024-10-25 20:12
4.9M
py3-nwdiag-pyc-3.0.0-r3.apk
2024-10-25 20:12
78K
py3-okonomiyaki-2.0.0-r1.apk
2025-10-14 18:39
7.9M
py3-okonomiyaki-pyc-2.0.0-r1.apk
2025-10-14 18:39
243K
py3-openapi-codec-1.3.2-r9.apk
2024-10-25 20:12
7.7K
py3-openapi-codec-pyc-1.3.2-r9.apk
2024-10-25 20:12
12K
py3-opendht-3.1.11-r0.apk
2025-01-27 20:56
154K
py3-openssh-wrapper-0.5_git20130425-r4.apk
2024-10-25 20:12
8.2K
py3-openssh-wrapper-pyc-0.5_git20130425-r4.apk
2024-10-25 20:12
10K
py3-openwisp-utils-1.0.4-r4.apk
2024-10-25 20:12
498K
py3-openwisp-utils-pyc-1.0.4-r4.apk
2024-10-25 20:12
42K
py3-orderedmultidict-1.0.1-r7.apk
2024-10-25 20:12
12K
py3-orderedmultidict-pyc-1.0.1-r7.apk
2024-10-25 20:12
17K
py3-ovos-audio-plugin-simple-0.0.1-r0.apk
2024-10-25 20:12
10K
py3-ovos-audio-plugin-simple-pyc-0.0.1-r0.apk
2024-10-25 20:12
8.2K
py3-ovos-backend-client-1.0.0-r0.apk
2024-10-25 20:12
46K
py3-ovos-backend-client-pyc-1.0.0-r0.apk
2024-10-25 20:12
91K
py3-ovos-bus-client-1.3.4-r0.apk
2025-04-25 06:18
50K
py3-ovos-bus-client-pyc-1.3.4-r0.apk
2025-04-25 06:18
89K
py3-ovos-classifiers-0.0.0_alpha53-r0.apk
2024-10-25 20:12
103K
py3-ovos-classifiers-pyc-0.0.0_alpha53-r0.apk
2024-10-25 20:12
164K
py3-ovos-config-2.1.1-r0.apk
2025-07-15 18:37
47K
py3-ovos-config-pyc-2.1.1-r0.apk
2025-07-15 18:37
35K
py3-ovos-lingua-franca-0.4.8_alpha3-r2.apk
2024-10-25 20:12
358K
py3-ovos-lingua-franca-pyc-0.4.8_alpha3-r2.apk
2024-10-25 20:12
437K
py3-ovos-microphone-plugin-alsa-0.1.2-r0.apk
2025-07-15 20:03
8.9K
py3-ovos-microphone-plugin-alsa-pyc-0.1.2-r0.apk
2025-07-15 20:03
4.8K
py3-ovos-ocp-audio-plugin-0.0.6_alpha2-r3.apk
2024-10-25 20:12
550K
py3-ovos-ocp-audio-plugin-pyc-0.0.6_alpha2-r3.apk
2024-10-25 20:12
86K
py3-ovos-ocp-files-plugin-0.13.1-r0.apk
2024-10-25 20:12
47K
py3-ovos-ocp-files-plugin-pyc-0.13.1-r0.apk
2024-10-25 20:12
104K
py3-ovos-ocp-m3u-plugin-0.0.2-r0.apk
2024-10-25 20:12
8.1K
py3-ovos-ocp-m3u-plugin-pyc-0.0.2-r0.apk
2024-10-25 20:12
3.4K
py3-ovos-ocp-news-plugin-0.1.1-r0.apk
2025-07-15 20:03
11K
py3-ovos-ocp-news-plugin-pyc-0.1.1-r0.apk
2025-07-15 20:03
8.9K
py3-ovos-ocp-rss-plugin-0.1.1-r0.apk
2025-07-15 20:03
8.2K
py3-ovos-ocp-rss-plugin-pyc-0.1.1-r0.apk
2025-07-15 20:03
3.9K
py3-ovos-phal-plugin-connectivity-events-0.1.2-r0.apk
2025-07-15 20:03
8.8K
py3-ovos-phal-plugin-connectivity-events-pyc-0.1.2-r0.apk
2025-07-15 20:03
5.1K
py3-ovos-phal-plugin-ipgeo-0.0.2-r1.apk
2024-10-25 20:12
4.5K
py3-ovos-phal-plugin-ipgeo-pyc-0.0.2-r1.apk
2024-10-25 20:12
4.4K
py3-ovos-phal-plugin-network-manager-1.3.2-r0.apk
2024-11-21 13:32
95K
py3-ovos-phal-plugin-network-manager-pyc-1.3.2-r0.apk
2024-11-21 13:32
11K
py3-ovos-phal-plugin-oauth-0.1.3-r0.apk
2024-11-21 13:32
12K
py3-ovos-phal-plugin-oauth-pyc-0.1.3-r0.apk
2024-11-21 13:32
9.7K
py3-ovos-phal-plugin-system-1.3.3-r0.apk
2025-07-15 17:07
11K
py3-ovos-phal-plugin-system-pyc-1.3.3-r0.apk
2025-07-15 17:07
10K
py3-ovos-plugin-manager-1.0.3-r0.apk
2025-07-15 19:34
86K
py3-ovos-plugin-manager-pyc-1.0.3-r0.apk
2025-07-15 19:34
167K
py3-ovos-stt-plugin-server-0.0.4_alpha4-r1.apk
2024-10-25 20:12
10K
py3-ovos-stt-plugin-server-pyc-0.0.4_alpha4-r1.apk
2024-10-25 20:12
6.8K
py3-ovos-translate-server-plugin-0.0.0-r0.apk
2024-10-25 20:12
8.3K
py3-ovos-translate-server-plugin-pyc-0.0.0-r0.apk
2024-10-25 20:12
4.1K
py3-ovos-tts-server-plugin-0.0.2_alpha13-r1.apk
2024-10-25 20:12
9.5K
py3-ovos-tts-server-plugin-pyc-0.0.2_alpha13-r1.apk
2024-10-25 20:12
5.4K
py3-ovos-utils-0.8.1-r0.apk
2025-07-15 20:03
73K
py3-ovos-utils-pyc-0.8.1-r0.apk
2025-07-15 20:03
130K
py3-ovos-workshop-7.0.6-r0.apk
2025-07-15 19:29
92K
py3-ovos-workshop-pyc-7.0.6-r0.apk
2025-07-15 19:29
165K
py3-owslib-0.35.0-r0.apk
2025-11-02 19:15
195K
py3-owslib-pyc-0.35.0-r0.apk
2025-11-02 19:15
425K
py3-pacparser-1.4.5-r1.apk
2024-10-25 20:12
386K
py3-pacparser-pyc-1.4.5-r1.apk
2024-10-25 20:12
4.0K
py3-padacioso-0.2.1-r0.apk
2024-10-25 20:12
11K
py3-padacioso-pyc-0.2.1-r0.apk
2024-10-25 20:12
12K
py3-pam-2.0.2-r2.apk
2024-10-25 20:12
11K
py3-pam-pyc-2.0.2-r2.apk
2024-10-25 20:12
13K
py3-pathvalidate-3.3.1-r0.apk
2025-07-12 15:21
19K
py3-pathvalidate-pyc-3.3.1-r0.apk
2025-07-12 15:21
34K
py3-pbkdf2-1.3-r7.apk
2024-10-25 20:12
6.3K
py3-pbkdf2-pyc-1.3-r7.apk
2024-10-25 20:12
7.1K
py3-pcbnewtransition-0.5.2-r0.apk
2025-07-12 15:20
7.9K
py3-pcbnewtransition-pyc-0.5.2-r0.apk
2025-07-12 15:20
9.9K
py3-pdal-3.5.3-r0.apk
2026-01-19 16:04
205K
py3-pdal-pyc-3.5.3-r0.apk
2026-01-19 16:04
14K
py3-pdoc-15.0.4-r0.apk
2025-10-12 21:19
133K
py3-pdoc-pyc-15.0.4-r0.apk
2025-10-12 21:19
169K
py3-pelican-4.9.1-r2.apk
2024-10-25 20:12
234K
py3-pelican-pyc-4.9.1-r2.apk
2024-10-25 20:12
147K
py3-pep8-naming-0.14.1-r0.apk
2024-10-25 20:12
9.8K
py3-pep8-naming-pyc-0.14.1-r0.apk
2024-10-25 20:12
13K
py3-phpserialize-1.3-r8.apk
2024-10-25 20:12
8.8K
py3-phpserialize-pyc-1.3-r8.apk
2024-10-25 20:12
11K
py3-phx-class-registry-5.0.0-r0.apk
2024-10-25 20:12
13K
py3-phx-class-registry-doc-5.0.0-r0.apk
2024-10-25 20:12
2.2K
py3-phx-class-registry-pyc-5.0.0-r0.apk
2024-10-25 20:12
17K
py3-piccata-2.0.3-r1.apk
2024-10-25 20:12
20K
py3-piccata-pyc-2.0.3-r1.apk
2024-10-25 20:12
34K
py3-pillow_heif-0.18.0-r0.apk
2024-10-25 20:12
52K
py3-pillow_heif-pyc-0.18.0-r0.apk
2024-10-25 20:12
36K
py3-pip-system-certs-4.0-r1.apk
2024-10-25 20:12
7.0K
py3-pip-system-certs-pyc-4.0-r1.apk
2024-10-25 20:12
4.7K
py3-playsound-1.3.0-r1.apk
2024-10-25 20:12
6.9K
py3-playsound-pyc-1.3.0-r1.apk
2024-10-25 20:12
8.5K
py3-plotly-5.24.1-r2.apk
2025-04-18 22:10
20M
py3-pltable-1.1.0-r1.apk
2024-11-13 06:38
19K
py3-pltable-pyc-1.1.0-r1.apk
2024-11-13 06:38
33K
py3-pockethernet-0.7.0-r4.apk
2024-10-25 20:12
15K
py3-pockethernet-pyc-0.7.0-r4.apk
2024-10-25 20:12
25K
py3-poetry-dynamic-versioning-1.9.1-r0.apk
2025-07-25 23:41
21K
py3-poetry-dynamic-versioning-pyc-1.9.1-r0.apk
2025-07-25 23:41
26K
py3-poppler-qt5-21.3.0-r2.apk
2025-01-29 19:46
119K
py3-ppk2-api-0.9.2-r0.apk
2024-11-30 21:09
16K
py3-ppk2-api-pyc-0.9.2-r0.apk
2024-11-30 21:09
17K
py3-pprintpp-0.4.0-r1.apk
2024-10-25 20:12
14K
py3-pprintpp-pyc-0.4.0-r1.apk
2024-10-25 20:12
16K
py3-prctl-1.8.1-r0.apk
2025-10-20 13:50
13K
py3-prctl-pyc-1.8.1-r0.apk
2025-10-20 13:50
7.2K
py3-prefixed-0.9.0-r0.apk
2025-08-28 10:50
14K
py3-prefixed-pyc-0.9.0-r0.apk
2025-08-28 10:50
8.6K
py3-print-color-0.4.6-r0.apk
2024-10-25 20:12
8.9K
py3-print-color-doc-0.4.6-r0.apk
2024-10-25 20:12
2.6K
py3-print-color-pyc-0.4.6-r0.apk
2024-10-25 20:12
5.0K
py3-proglog-0.1.10-r2.apk
2024-10-25 20:12
7.4K
py3-proglog-pyc-0.1.10-r2.apk
2024-10-25 20:12
9.9K
py3-protego-0.3.1-r0.apk
2024-11-30 20:18
9.3K
py3-protego-pyc-0.3.1-r0.apk
2024-11-30 20:18
12K
py3-proxmoxer-2.2.0-r0.apk
2024-12-16 11:36
17K
py3-proxmoxer-pyc-2.2.0-r0.apk
2024-12-16 11:36
28K
py3-pure_protobuf-3.1.2-r0.apk
2024-10-25 20:12
21K
py3-pure_protobuf-pyc-3.1.2-r0.apk
2024-10-25 20:12
38K
py3-py-radix-0.10.0-r11.apk
2025-12-31 18:36
22K
py3-py-radix-pyc-0.10.0-r11.apk
2025-12-31 18:36
11K
py3-pyatem-0.5.0-r4.apk
2024-10-25 20:12
53K
py3-pyatem-pyc-0.5.0-r4.apk
2024-10-25 20:12
92K
py3-pyautogui-0.9.53-r5.apk
2024-10-25 20:12
35K
py3-pyautogui-pyc-0.9.53-r5.apk
2024-10-25 20:12
45K
py3-pybars3-0.9.7-r6.apk
2024-10-25 20:12
15K
py3-pybars3-pyc-0.9.7-r6.apk
2024-10-25 20:12
17K
py3-pycaption-2.2.15-r0.apk
2024-10-25 20:12
360K
py3-pycolorterm-0.2.1-r6.apk
2024-10-25 20:12
5.5K
py3-pycolorterm-pyc-0.2.1-r6.apk
2024-10-25 20:12
3.7K
py3-pycosat-0.6.6-r2.apk
2024-10-25 20:12
46K
py3-pydes-2.0.1-r5.apk
2024-10-25 20:12
11K
py3-pydes-doc-2.0.1-r5.apk
2024-10-25 20:12
3.6K
py3-pydes-pyc-2.0.1-r5.apk
2024-10-25 20:12
13K
py3-pygelbooru-1.0.0-r0.apk
2025-07-30 04:28
20K
py3-pygelbooru-pyc-1.0.0-r0.apk
2025-07-30 04:28
11K
py3-pygfm-2.0.0-r2.apk
2024-10-25 20:12
13K
py3-pygfm-pyc-2.0.0-r2.apk
2024-10-25 20:12
13K
py3-pyglet-2.1.5-r1.apk
2025-08-27 14:45
890K
py3-pyglet-pyc-2.1.5-r1.apk
2025-08-27 14:45
1.6M
py3-pyglm-2.7.3-r0.apk
2024-11-06 09:41
1.1M
py3-pygpgme-0.3.1-r10.apk
2026-01-19 14:36
38K
py3-pygpgme-pyc-0.3.1-r10.apk
2026-01-19 14:36
5.1K
py3-pygtail-0.14.0-r3.apk
2024-10-25 20:12
15K
py3-pygtail-pyc-0.14.0-r3.apk
2024-10-25 20:12
10K
py3-pyinstaller-6.6.0-r0.apk
2024-10-25 20:12
1.9M
py3-pyinstaller-pyc-6.6.0-r0.apk
2024-10-25 20:12
502K
py3-pyisbn-1.3.1-r3.apk
2024-10-25 20:12
20K
py3-pyisbn-pyc-1.3.1-r3.apk
2024-10-25 20:12
9.4K
py3-pylru-1.2.1-r1.apk
2024-10-25 20:12
17K
py3-pylru-pyc-1.2.1-r1.apk
2024-10-25 20:12
8.9K
py3-pymaging-0.0.20130908-r10.apk
2024-10-25 20:12
18K
py3-pymaging-png-0.0.20130727-r10.apk
2024-10-25 20:12
35K
py3-pymaging-png-pyc-0.0.20130727-r10.apk
2024-10-25 20:12
52K
py3-pymaging-pyc-0.0.20130908-r10.apk
2024-10-25 20:12
32K
py3-pymata-2.20-r4.apk
2024-10-25 20:12
22K
py3-pymata-pyc-2.20-r4.apk
2024-10-25 20:12
29K
py3-pymata4-1.15-r4.apk
2024-10-25 20:12
23K
py3-pymata4-pyc-1.15-r4.apk
2024-10-25 20:12
31K
py3-pymeta3-0.5.1-r6.apk
2024-10-25 20:12
17K
py3-pymeta3-pyc-0.5.1-r6.apk
2024-10-25 20:12
32K
py3-pymsgbox-1.0.9-r5.apk
2024-10-25 20:12
9.2K
py3-pymsgbox-pyc-1.0.9-r5.apk
2024-10-25 20:12
9.8K
py3-pymsteams-0.2.5-r0.apk
2025-02-17 09:34
12K
py3-pymsteams-pyc-0.2.5-r0.apk
2025-02-17 09:34
6.5K
py3-pymupdf-1.26.4-r2.apk
2025-12-19 20:00
323K
py3-pymupdf-pyc-1.26.4-r2.apk
2025-12-19 20:00
500K
py3-pynest2d-5.2.2-r5.apk
2025-02-06 04:49
283K
py3-pyparted-3.13.0-r1.apk
2024-10-25 20:12
77K
py3-pyparted-pyc-3.13.0-r1.apk
2024-10-25 20:12
42K
py3-pypubsub-4.0.3-r0.apk
2024-10-25 20:12
52K
py3-pypubsub-doc-4.0.3-r0.apk
2024-10-25 20:12
2.1K
py3-pypubsub-pyc-4.0.3-r0.apk
2024-10-25 20:12
90K
py3-pyqrcode-1.2.1-r0.apk
2024-10-25 20:12
37K
py3-pyqrcode-doc-1.2.1-r0.apk
2024-10-25 20:12
4.3K
py3-pyqrcode-pyc-1.2.1-r0.apk
2024-10-25 20:12
47K
py3-pyroma-4.2-r0.apk
2024-10-25 20:12
22K
py3-pyroma-pyc-4.2-r0.apk
2024-10-25 20:12
26K
py3-pyscreeze-0.1.29-r3.apk
2024-10-25 20:12
14K
py3-pyscreeze-pyc-0.1.29-r3.apk
2024-10-25 20:12
14K
py3-pysimplesoap-1.16.2-r7.apk
2024-10-25 20:12
44K
py3-pysimplesoap-pyc-1.16.2-r7.apk
2024-10-25 20:12
78K
py3-pysonic-1.0.3-r0.apk
2025-04-16 22:35
35K
py3-pysonic-pyc-1.0.3-r0.apk
2025-04-16 22:35
32K
py3-pyspinel-1.0.3-r1.apk
2024-10-25 20:12
56K
py3-pyspinel-pyc-1.0.3-r1.apk
2024-10-25 20:12
63K
py3-pysrt-1.1.2-r5.apk
2025-05-14 18:17
25K
py3-pysrt-pyc-1.1.2-r5.apk
2025-05-14 18:17
23K
py3-pystache-0.6.5-r1.apk
2024-10-25 20:12
68K
py3-pystache-pyc-0.6.5-r1.apk
2024-10-25 20:12
97K
py3-pysubs2-1.8.0-r0.apk
2024-12-25 22:09
36K
py3-pysubs2-pyc-1.8.0-r0.apk
2024-12-25 22:09
68K
py3-pytaglib-3.0.0-r0.apk
2025-01-26 19:50
41K
py3-pytaglib-pyc-3.0.0-r0.apk
2025-01-26 19:50
2.9K
py3-pytap2-2.3.0-r0.apk
2024-10-25 20:12
7.0K
py3-pytap2-doc-2.3.0-r0.apk
2024-10-25 20:12
2.8K
py3-pytap2-pyc-2.3.0-r0.apk
2024-10-25 20:12
6.0K
py3-pyte-0.8.2-r3.apk
2025-05-12 21:41
30K
py3-pyte-pyc-0.8.2-r3.apk
2025-05-12 21:41
39K
py3-pytest-datadir-1.8.0-r0.apk
2025-08-05 12:53
7.1K
py3-pytest-datadir-pyc-1.8.0-r0.apk
2025-08-05 12:53
5.4K
py3-pytest-expect-1.1.0-r10.apk
2024-10-25 20:12
5.9K
py3-pytest-expect-pyc-1.1.0-r10.apk
2024-10-25 20:12
7.0K
py3-pytest-home-0.6.0-r0.apk
2024-10-25 20:12
4.5K
py3-pytest-home-pyc-0.6.0-r0.apk
2024-10-25 20:12
2.8K
py3-pytest-html-4.1.1-r1.apk
2024-10-25 20:12
22K
py3-pytest-html-pyc-4.1.1-r1.apk
2024-10-25 20:12
22K
py3-pytest-metadata-3.1.1-r0.apk
2024-10-25 20:12
10K
py3-pytest-metadata-pyc-3.1.1-r0.apk
2024-10-25 20:12
7.9K
py3-pytest-regtest-2.3.5-r0.apk
2025-10-12 13:32
16K
py3-pytest-regtest-pyc-2.3.5-r0.apk
2025-10-12 13:32
30K
py3-pytest-subprocess-1.5.2-r0.apk
2024-10-25 20:12
20K
py3-pytest-subprocess-pyc-1.5.2-r0.apk
2024-10-25 20:12
25K
py3-pytest-textual-snapshot-1.1.0-r0.apk
2025-08-08 13:36
9.2K
py3-pytest-textual-snapshot-pyc-1.1.0-r0.apk
2025-08-08 13:36
11K
py3-python-archive-0.2-r7.apk
2024-10-25 20:12
7.4K
py3-python-archive-pyc-0.2-r7.apk
2024-10-25 20:12
9.3K
py3-python-iptables-1.2.0-r1.apk
2025-12-12 15:43
38K
py3-python-iptables-pyc-1.2.0-r1.apk
2025-12-12 15:43
68K
py3-python-jwt-4.1.0-r2.apk
2025-05-15 21:24
8.1K
py3-python-jwt-pyc-4.1.0-r2.apk
2025-05-15 21:24
6.5K
py3-python-logstash-0.4.8-r4.apk
2024-10-25 20:12
8.6K
py3-python-logstash-doc-0.4.8-r4.apk
2024-10-25 20:12
2.3K
py3-python-logstash-pyc-0.4.8-r4.apk
2024-10-25 20:12
8.4K
py3-python-stdnum-1.20-r0.apk
2024-10-25 20:12
806K
py3-python-stdnum-pyc-1.20-r0.apk
2024-10-25 20:12
293K
py3-pyvcd-0.4.1-r0.apk
2024-11-11 21:46
23K
py3-pyvcd-pyc-0.4.1-r0.apk
2024-11-11 21:46
40K
py3-pyzor-1.1.2-r0.apk
2025-08-14 06:26
40K
py3-pyzor-pyc-1.1.2-r0.apk
2025-08-14 06:26
54K
py3-qasync-0.19.0-r2.apk
2024-10-25 20:12
37K
py3-qpageview-0.6.2-r1.apk
2024-10-25 20:12
98K
py3-qpageview-doc-0.6.2-r1.apk
2024-10-25 20:12
56K
py3-qpageview-pyc-0.6.2-r1.apk
2024-10-25 20:12
180K
py3-qt.py-1.3.10-r1.apk
2024-10-25 20:12
33K
py3-qt.py-pyc-1.3.10-r1.apk
2024-10-25 20:12
25K
py3-quebra-frases-0.3.7-r1.apk
2024-10-25 20:12
8.8K
py3-quebra-frases-pyc-0.3.7-r1.apk
2024-10-25 20:12
7.8K
py3-queuelib-1.8.0-r0.apk
2025-09-01 18:13
12K
py3-queuelib-pyc-1.8.0-r0.apk
2025-09-01 18:13
24K
py3-r2pipe-5.9.0-r0.apk
2025-12-31 18:36
12K
py3-r2pipe-doc-5.9.0-r0.apk
2025-12-31 18:36
22K
py3-r2pipe-pyc-5.9.0-r0.apk
2025-12-31 18:36
21K
py3-rabbit-1.1.0-r8.apk
2024-10-25 20:12
11K
py3-rabbit-pyc-1.1.0-r8.apk
2024-10-25 20:12
15K
py3-radon-6.0.1-r2.apk
2024-10-25 20:12
32K
py3-radon-doc-6.0.1-r2.apk
2024-10-25 20:12
5.1K
py3-radon-pyc-6.0.1-r2.apk
2024-10-25 20:12
50K
py3-recommonmark-0.7.1-r4.apk
2024-10-25 20:12
12K
py3-recommonmark-pyc-0.7.1-r4.apk
2024-10-25 20:12
18K
py3-recurring-ical-events-3.8.0-r0.apk
2025-06-15 04:25
39K
py3-recurring-ical-events-pyc-3.8.0-r0.apk
2025-06-15 04:25
50K
py3-redmine-2.5.0-r0.apk
2024-10-25 20:12
37K
py3-redmine-pyc-2.5.0-r0.apk
2024-10-25 20:12
54K
py3-remind-0.19.2-r0.apk
2025-04-23 21:27
24K
py3-remind-pyc-0.19.2-r0.apk
2025-04-23 21:27
23K
py3-requests-cache-1.2.1-r2.apk
2026-01-19 14:36
50K
py3-requests-cache-pyc-1.2.1-r2.apk
2026-01-19 14:36
94K
py3-requests-kerberos-0.15.0-r0.apk
2024-12-01 17:24
12K
py3-requests-kerberos-pyc-0.15.0-r0.apk
2024-12-01 17:24
11K
py3-requests-wsgi-adapter-0.4.1-r1.apk
2024-10-25 20:12
5.5K
py3-requests-wsgi-adapter-pyc-0.4.1-r1.apk
2024-10-25 20:12
6.6K
py3-rfc-bibtex-0.3.2-r7.apk
2024-10-25 20:12
13K
py3-rfc-bibtex-pyc-0.3.2-r7.apk
2024-10-25 20:12
12K
py3-rich-click-1.7.3-r1.apk
2024-10-25 20:12
31K
py3-rich-click-pyc-1.7.3-r1.apk
2024-10-25 20:12
40K
py3-riotctrl-0.5.0-r4.apk
2024-10-25 20:12
13K
py3-riotctrl-pyc-0.5.0-r4.apk
2024-10-25 20:12
11K
py3-rns-1.0.0-r0.apk
2025-09-23 21:45
344K
py3-rns-pyc-1.0.0-r0.apk
2025-09-23 21:45
705K
py3-rofi-1.0.1-r1.apk
2025-08-09 02:08
12K
py3-rofi-pyc-1.0.1-r1.apk
2025-08-09 02:08
12K
py3-rosdistro-0.9.0-r3.apk
2024-10-25 20:12
47K
py3-rosdistro-pyc-0.9.0-r3.apk
2024-10-25 20:12
91K
py3-rospkg-1.2.9-r5.apk
2024-10-25 20:12
29K
py3-rospkg-pyc-1.2.9-r5.apk
2024-10-25 20:12
54K
py3-rpio-0.10.1-r8.apk
2024-10-25 20:12
37K
py3-rpio-pyc-0.10.1-r8.apk
2024-10-25 20:12
16K
py3-rst-0.1-r9.apk
2024-10-25 20:12
5.6K
py3-rst-pyc-0.1-r9.apk
2024-10-25 20:12
6.1K
py3-rst.linker-2.6.0-r0.apk
2024-10-25 20:12
6.1K
py3-rst.linker-pyc-2.6.0-r0.apk
2024-10-25 20:12
6.6K
py3-rst2ansi-0.1.5-r0.apk
2024-10-25 20:12
12K
py3-rst2ansi-doc-0.1.5-r0.apk
2024-10-25 20:12
2.2K
py3-rst2ansi-pyc-0.1.5-r0.apk
2024-10-25 20:12
24K
py3-saltext-s3fs-1.0.0-r0.apk
2026-01-24 13:54
16K
py3-saltext-s3fs-pyc-1.0.0-r0.apk
2026-01-24 13:54
16K
py3-schema-0.7.7-r0.apk
2025-07-07 16:11
19K
py3-schema-pyc-0.7.7-r0.apk
2025-07-07 16:11
21K
py3-scour-0.38.2-r1.apk
2024-10-25 20:12
56K
py3-scour-pyc-0.38.2-r1.apk
2024-10-25 20:12
74K
py3-scrapy-2.13.4-r0.apk
2026-01-05 22:27
252K
py3-scrapy-pyc-2.13.4-r0.apk
2026-01-05 22:27
521K
py3-scs-3.2.3-r4.apk
2024-10-25 20:12
139K
py3-scs-pyc-3.2.3-r4.apk
2024-10-25 20:12
4.9K
py3-senf-1.5.0-r0.apk
2025-06-27 02:59
20K
py3-senf-pyc-1.5.0-r0.apk
2025-06-27 02:59
33K
py3-seqdiag-3.0.0-r5.apk
2024-10-25 20:12
2.5M
py3-seqdiag-pyc-3.0.0-r5.apk
2024-10-25 20:12
42K
py3-setuptools-lint-0.6.0-r9.apk
2024-10-25 20:12
5.4K
py3-setuptools-lint-pyc-0.6.0-r9.apk
2024-10-25 20:12
6.0K
py3-sh-2.1.0-r0.apk
2024-11-01 15:14
38K
py3-sh-pyc-2.1.0-r0.apk
2024-11-01 15:14
55K
py3-shodan-1.31.0-r1.apk
2024-10-25 20:12
44K
py3-shodan-doc-1.31.0-r1.apk
2024-10-25 20:12
7.2K
py3-shodan-pyc-1.31.0-r1.apk
2024-10-25 20:12
79K
py3-simber-0.2.6-r5.apk
2025-05-14 18:17
12K
py3-simber-pyc-0.2.6-r5.apk
2025-05-14 18:17
16K
py3-simple-websocket-1.1.0-r0.apk
2025-09-06 20:30
11K
py3-simple-websocket-doc-1.1.0-r0.apk
2025-09-06 20:30
2.3K
py3-simple-websocket-pyc-1.1.0-r0.apk
2025-09-06 20:30
23K
py3-simpleeval-1.0.3-r0.apk
2025-06-24 19:38
16K
py3-simpleeval-pyc-1.0.3-r0.apk
2025-06-24 19:38
16K
py3-simplematch-1.4-r1.apk
2024-10-25 20:12
8.0K
py3-simplematch-pyc-1.4-r1.apk
2024-10-25 20:12
5.8K
py3-simplesat-0.8.2-r0.apk
2024-10-25 20:12
214K
py3-simplesat-pyc-0.8.2-r0.apk
2024-10-25 20:12
157K
py3-simplesoapy-1.5.1-r7.apk
2024-10-25 20:12
7.9K
py3-simplesoapy-pyc-1.5.1-r7.apk
2024-10-25 20:12
12K
py3-simplespectral-1.0.0-r5.apk
2024-10-25 20:12
7.5K
py3-simplespectral-pyc-1.0.0-r5.apk
2024-10-25 20:12
8.1K
py3-slidge-style-parser-0.1.9-r0.apk
2025-04-12 06:04
222K
py3-slidge-style-parser-pyc-0.1.9-r0.apk
2025-04-12 06:04
2.0K
py3-slixmpp-1.8.5-r2.apk
2024-10-25 20:12
383K
py3-slixmpp-doc-1.8.5-r2.apk
2024-10-25 20:12
5.8K
py3-slixmpp-pyc-1.8.5-r2.apk
2024-10-25 20:12
729K
py3-snapshottest-0.6.0-r5.apk
2024-10-25 20:12
15K
py3-snapshottest-pyc-0.6.0-r5.apk
2024-10-25 20:12
26K
py3-soapy_power-1.6.1-r5.apk
2024-10-25 20:12
17K
py3-soapy_power-pyc-1.6.1-r5.apk
2024-10-25 20:12
27K
py3-socketio-5.13.0-r0.apk
2025-09-06 20:30
62K
py3-socketio-doc-5.13.0-r0.apk
2025-09-06 20:30
36K
py3-socketio-pyc-5.13.0-r0.apk
2025-09-06 20:30
127K
py3-solidpython-1.1.2-r2.apk
2024-10-25 20:12
79K
py3-solidpython-pyc-1.1.2-r2.apk
2024-10-25 20:12
120K
py3-sortedcollections-2.1.0-r5.apk
2024-10-25 20:12
11K
py3-sortedcollections-pyc-2.1.0-r5.apk
2024-10-25 20:12
14K
py3-spake2-0.9-r0.apk
2024-10-25 20:12
30K
py3-spake2-pyc-0.9-r0.apk
2024-10-25 20:12
44K
py3-sphinx-argparse-0.5.2-r0.apk
2024-10-25 20:12
14K
py3-sphinx-argparse-pyc-0.5.2-r0.apk
2024-10-25 20:12
22K
py3-sphinx-theme-better-0.1.5-r7.apk
2024-10-25 20:12
11K
py3-sphinx-theme-better-pyc-0.1.5-r7.apk
2024-10-25 20:12
2.0K
py3-sphinx-theme-bootstrap-0.8.1-r4.apk
2024-10-25 20:12
1.2M
py3-sphinx-theme-bootstrap-pyc-0.8.1-r4.apk
2024-10-25 20:12
2.3K
py3-sphinx-theme-bw-0.1.8-r7.apk
2024-10-25 20:12
65K
py3-sphinx-theme-bw-pyc-0.1.8-r7.apk
2024-10-25 20:12
1.8K
py3-sphinx-theme-cloud-1.10.0-r2.apk
2024-10-25 20:12
81K
py3-sphinx-theme-cloud-pyc-1.10.0-r2.apk
2024-10-25 20:12
43K
py3-sphinx-theme-epfl-1.1.1-r9.apk
2024-10-25 20:12
30K
py3-sphinx-theme-epfl-pyc-1.1.1-r9.apk
2024-10-25 20:12
2.5K
py3-sphinx-theme-guzzle-0.7.11-r7.apk
2024-10-25 20:12
2.4M
py3-sphinx-theme-guzzle-pyc-0.7.11-r7.apk
2024-10-25 20:12
5.5K
py3-sphinx-theme-readable-1.3.0-r9.apk
2024-10-25 20:12
8.8K
py3-sphinx-theme-readable-pyc-1.3.0-r9.apk
2024-10-25 20:12
2.2K
py3-sphinxcontrib-actdiag-3.0.0-r4.apk
2024-10-25 20:12
7.6K
py3-sphinxcontrib-actdiag-pyc-3.0.0-r4.apk
2024-10-25 20:12
9.3K
py3-sphinxcontrib-adadomain-0.2-r9.apk
2024-10-25 20:12
9.0K
py3-sphinxcontrib-adadomain-pyc-0.2-r9.apk
2024-10-25 20:12
12K
py3-sphinxcontrib-bitbucket-1.0-r8.apk
2024-10-25 20:12
5.7K
py3-sphinxcontrib-bitbucket-pyc-1.0-r8.apk
2024-10-25 20:12
4.1K
py3-sphinxcontrib-blockdiag-3.0.0-r4.apk
2024-10-25 20:12
7.5K
py3-sphinxcontrib-blockdiag-pyc-3.0.0-r4.apk
2024-10-25 20:12
9.2K
py3-sphinxcontrib-cacoo-2.0.0-r7.apk
2024-10-25 20:12
5.7K
py3-sphinxcontrib-cacoo-pyc-2.0.0-r7.apk
2024-10-25 20:12
4.3K
py3-sphinxcontrib-cartouche-1.1.2-r7.apk
2024-10-25 20:12
18K
py3-sphinxcontrib-cartouche-pyc-1.1.2-r7.apk
2024-10-25 20:12
34K
py3-sphinxcontrib-fulltoc-1.2.0-r8.apk
2024-10-25 20:12
9.1K
py3-sphinxcontrib-fulltoc-pyc-1.2.0-r8.apk
2024-10-25 20:12
3.5K
py3-sphinxcontrib-gist-0.1.0-r9.apk
2024-10-25 20:12
3.9K
py3-sphinxcontrib-gist-pyc-0.1.0-r9.apk
2024-10-25 20:12
3.3K
py3-sphinxcontrib-gravatar-0.1.2-r8.apk
2024-10-25 20:12
7.9K
py3-sphinxcontrib-gravatar-pyc-0.1.2-r8.apk
2024-10-25 20:12
7.6K
py3-sphinxcontrib-htsql-0.1.5-r8.apk
2024-10-25 20:12
11K
py3-sphinxcontrib-htsql-pyc-0.1.5-r8.apk
2024-10-25 20:12
15K
py3-sphinxcontrib-httpdomain-1.8.1-r3.apk
2024-10-25 20:12
18K
py3-sphinxcontrib-httpdomain-lang-1.8.1-r3.apk
2024-10-25 20:12
4.3K
py3-sphinxcontrib-httpdomain-pyc-1.8.1-r3.apk
2024-10-25 20:12
34K
py3-sphinxcontrib-hydomain-0.1.0_git20230930-r1.apk
2024-10-25 20:12
21K
py3-sphinxcontrib-hydomain-pyc-0.1.0_git20230930-r1.apk
2024-10-25 20:12
44K
py3-sphinxcontrib-inheritance-0.9.0-r9.apk
2024-10-25 20:12
11K
py3-sphinxcontrib-inheritance-pyc-0.9.0-r9.apk
2024-10-25 20:12
15K
py3-sphinxcontrib-issuetracker-0.11-r7.apk
2024-10-25 20:12
11K
py3-sphinxcontrib-issuetracker-pyc-0.11-r7.apk
2024-10-25 20:12
12K
py3-sphinxcontrib-lassodomain-0.4-r8.apk
2024-10-25 20:12
7.9K
py3-sphinxcontrib-lassodomain-pyc-0.4-r8.apk
2024-10-25 20:12
11K
py3-sphinxcontrib-manpage-0.6-r8.apk
2024-10-25 20:12
4.1K
py3-sphinxcontrib-manpage-pyc-0.6-r8.apk
2024-10-25 20:12
3.1K
py3-sphinxcontrib-mermaid-1.0.0-r0.apk
2025-05-08 12:15
10K
py3-sphinxcontrib-mermaid-pyc-1.0.0-r0.apk
2025-05-08 12:15
16K
py3-sphinxcontrib-nwdiag-2.0.0-r7.apk
2024-10-25 20:12
8.7K
py3-sphinxcontrib-nwdiag-pyc-2.0.0-r7.apk
2024-10-25 20:12
11K
py3-sphinxcontrib-phpdomain-0.13.0-r0.apk
2025-07-12 16:03
11K
py3-sphinxcontrib-phpdomain-pyc-0.13.0-r0.apk
2025-07-12 16:03
17K
py3-sphinxcontrib-programoutput-0.17-r5.apk
2024-10-25 20:12
16K
py3-sphinxcontrib-programoutput-pyc-0.17-r5.apk
2024-10-25 20:12
24K
py3-sphinxcontrib-restbuilder-0.3-r6.apk
2024-10-25 20:12
11K
py3-sphinxcontrib-restbuilder-pyc-0.3-r6.apk
2024-10-25 20:12
20K
py3-sphinxcontrib-seqdiag-3.0.0-r5.apk
2024-10-25 20:12
7.6K
py3-sphinxcontrib-seqdiag-pyc-3.0.0-r5.apk
2024-10-25 20:12
9.3K
py3-sphinxcontrib-slide-1.0.0-r4.apk
2025-05-12 21:41
4.9K
py3-sphinxcontrib-slide-pyc-1.0.0-r4.apk
2025-05-12 21:41
5.6K
py3-sphinxcontrib-spelling-8.0.1-r0.apk
2025-07-13 21:34
13K
py3-sphinxcontrib-spelling-pyc-8.0.1-r0.apk
2025-07-13 21:34
19K
py3-sphinxcontrib-sqltable-2.0.0-r8.apk
2024-10-25 20:12
7.3K
py3-sphinxcontrib-sqltable-pyc-2.0.0-r8.apk
2024-10-25 20:12
4.9K
py3-sphinxcontrib-textstyle-0.2.3-r8.apk
2024-10-25 20:12
6.1K
py3-sphinxcontrib-textstyle-pyc-0.2.3-r8.apk
2024-10-25 20:12
5.7K
py3-spidev-3.6-r1.apk
2024-10-25 20:12
14K
py3-spin-0.8-r0.apk
2024-10-25 20:12
19K
py3-spin-pyc-0.8-r0.apk
2024-10-25 20:12
24K
py3-spinners-0.0.24-r5.apk
2024-10-25 20:12
6.1K
py3-spinners-pyc-0.0.24-r5.apk
2024-10-25 20:12
6.2K
py3-spnego-0.11.2-r0.apk
2025-01-16 07:52
118K
py3-spnego-pyc-0.11.2-r0.apk
2025-01-16 07:52
219K
py3-spotipy-2.24.0-r3.apk
2025-10-14 15:05
30K
py3-spotipy-pyc-2.24.0-r3.apk
2025-10-14 15:05
49K
py3-sqlmodel-0.0.22-r1.apk
2024-12-06 23:03
26K
py3-sqlmodel-pyc-0.0.22-r1.apk
2024-12-06 23:03
41K
py3-sssd-2.11.1-r2.apk
2025-11-20 07:32
59K
py3-sssd-pyc-2.11.1-r2.apk
2025-11-20 07:32
49K
py3-sstash-0.17-r9.apk
2024-10-25 20:12
7.7K
py3-sstash-pyc-0.17-r9.apk
2024-10-25 20:12
10K
py3-swagger-ui-bundle-1.1.0-r1.apk
2024-10-25 20:12
2.5M
py3-swagger-ui-bundle-pyc-1.1.0-r1.apk
2024-10-25 20:12
2.1K
py3-synapse-auto-accept-invite-1.2.0-r1.apk
2025-08-09 02:08
9.8K
py3-synapse-auto-accept-invite-pyc-1.2.0-r1.apk
2025-08-09 02:08
5.6K
py3-tailer-0.4.1-r7.apk
2024-10-25 20:12
6.9K
py3-tailer-pyc-0.4.1-r7.apk
2024-10-25 20:12
6.6K
py3-tasklib-2.5.1-r2.apk
2024-10-25 20:12
23K
py3-tasklib-pyc-2.5.1-r2.apk
2024-10-25 20:12
52K
py3-telegram-bot-22.5-r0.apk
2025-11-19 18:30
497K
py3-telegram-bot-pyc-22.5-r0.apk
2025-11-19 18:30
802K
py3-telegram-text-0.2.0-r1.apk
2024-10-25 20:12
9.2K
py3-telegram-text-pyc-0.2.0-r1.apk
2024-10-25 20:12
13K
py3-telemetrix-1.20-r3.apk
2024-10-25 20:12
21K
py3-telemetrix-pyc-1.20-r3.apk
2024-10-25 20:12
31K
py3-teletype-1.3.4-r3.apk
2024-10-25 20:12
15K
py3-teletype-pyc-1.3.4-r3.apk
2024-10-25 20:12
21K
py3-testresources-2.0.1-r6.apk
2024-10-25 20:12
17K
py3-testresources-pyc-2.0.1-r6.apk
2024-10-25 20:12
16K
py3-textual-5.3.0-r0.apk
2025-10-27 08:30
612K
py3-textual-pyc-5.3.0-r0.apk
2025-10-27 08:30
1.2M
py3-thefuzz-0.22.1-r1.apk
2024-10-25 20:12
10K
py3-thefuzz-pyc-0.22.1-r1.apk
2024-10-25 20:12
8.9K
py3-ticket-auth-0.1.4-r9.apk
2024-10-25 20:12
6.0K
py3-ticket-auth-pyc-0.1.4-r9.apk
2024-10-25 20:12
6.4K
py3-tidalapi-0.8.4-r0.apk
2025-07-12 16:03
50K
py3-tidalapi-pyc-0.8.4-r0.apk
2025-07-12 16:03
91K
py3-timeago-1.0.16-r0.apk
2024-10-25 20:12
24K
py3-timeago-doc-1.0.16-r0.apk
2024-10-25 20:12
2.8K
py3-timeago-pyc-1.0.16-r0.apk
2024-10-25 20:12
28K
py3-tls_parser-2.0.2-r0.apk
2025-07-14 03:36
10K
py3-tls_parser-pyc-2.0.2-r0.apk
2025-07-14 03:36
17K
py3-tlslite-ng-0.7.6-r8.apk
2024-10-25 20:12
179K
py3-tlslite-ng-pyc-0.7.6-r8.apk
2024-10-25 20:12
275K
py3-tokenizers-0.21.2-r0.apk
2025-07-01 19:19
1.6M
py3-tokenizers-pyc-0.21.2-r0.apk
2025-07-01 19:19
29K
py3-tpm2-pytss-2.3.0-r1.apk
2024-10-25 20:12
273K
py3-tpm2-pytss-pyc-2.3.0-r1.apk
2024-10-25 20:12
236K
py3-trakit-0.2.5-r0.apk
2025-11-23 23:11
19K
py3-trakit-pyc-0.2.5-r0.apk
2025-11-23 23:11
17K
py3-transitions-0.9.2-r0.apk
2024-10-25 20:12
98K
py3-transitions-pyc-0.9.2-r0.apk
2024-10-25 20:12
129K
py3-translationstring-1.4-r4.apk
2024-10-25 20:12
9.2K
py3-translationstring-pyc-1.4-r4.apk
2024-10-25 20:12
8.8K
py3-trivup-0.12.2-r2.apk
2024-10-25 20:12
34K
py3-trivup-pyc-0.12.2-r2.apk
2024-10-25 20:12
55K
py3-typing_inspect-0.9.0-r2.apk
2024-10-25 20:12
9.9K
py3-typing_inspect-pyc-0.9.0-r2.apk
2024-10-25 20:12
14K
py3-u-msgpack-2.8.0-r2.apk
2024-10-25 20:12
11K
py3-u-msgpack-pyc-2.8.0-r2.apk
2024-10-25 20:12
16K
py3-uacme-desec-1.2.1-r0.apk
2024-10-25 20:12
5.7K
py3-uacme-desec-doc-1.2.1-r0.apk
2024-10-25 20:12
2.2K
py3-uacme-desec-pyc-1.2.1-r0.apk
2024-10-25 20:12
6.8K
py3-uc-micro-py-1.0.3-r0.apk
2025-10-27 08:30
6.5K
py3-uc-micro-py-pyc-1.0.3-r0.apk
2025-10-27 08:30
4.6K
py3-unearth-0.18.0-r0.apk
2025-10-14 14:54
41K
py3-unearth-pyc-0.18.0-r0.apk
2025-10-14 14:54
82K
py3-unicorn-2.1.4-r0.apk
2025-10-14 14:04
51K
py3-unicorn-pyc-2.1.4-r0.apk
2025-10-14 14:04
92K
py3-unicrypto-0.0.12-r0.apk
2025-11-03 06:49
59K
py3-unicrypto-pyc-0.0.12-r0.apk
2025-11-03 06:49
92K
py3-unidns-0.0.4-r0.apk
2025-11-03 06:49
14K
py3-unidns-examples-0.0.4-r0.apk
2025-11-03 06:49
2.6K
py3-unidns-pyc-0.0.4-r0.apk
2025-11-03 06:49
25K
py3-unoconv-0.9.0-r4.apk
2025-05-12 21:41
26K
py3-uptime-3.0.1-r9.apk
2024-10-25 20:12
9.9K
py3-uptime-pyc-3.0.1-r9.apk
2024-10-25 20:12
8.7K
py3-urlobject-2.4.3-r9.apk
2024-10-25 20:12
15K
py3-urlobject-pyc-2.4.3-r9.apk
2024-10-25 20:12
25K
py3-us-3.2.0-r0.apk
2024-10-25 20:12
14K
py3-us-pyc-3.2.0-r0.apk
2024-10-25 20:12
15K
py3-utc-0.0.3-r9.apk
2024-10-25 20:12
3.5K
py3-utc-pyc-0.0.3-r9.apk
2024-10-25 20:12
2.8K
py3-vatnumber-1.2-r9.apk
2024-10-25 20:12
19K
py3-vatnumber-pyc-1.2-r9.apk
2024-10-25 20:12
8.6K
py3-vdf-3.4-r2.apk
2025-10-16 22:05
11K
py3-vdf-pyc-3.4-r2.apk
2025-10-16 22:05
17K
py3-venusian-3.1.1-r0.apk
2024-12-07 21:14
14K
py3-venusian-pyc-3.1.1-r0.apk
2024-12-07 21:14
12K
py3-virtualenvwrapper-6.1.1-r1.apk
2025-12-18 11:06
22K
py3-virtualenvwrapper-pyc-6.1.1-r1.apk
2025-12-18 11:06
12K
py3-visitor-0.1.3-r7.apk
2024-10-25 20:12
4.6K
py3-visitor-pyc-0.1.3-r7.apk
2024-10-25 20:12
2.6K
py3-ward-0.67.0_beta0-r2.apk
2024-10-25 20:12
41K
py3-ward-pyc-0.67.0_beta0-r2.apk
2024-10-25 20:12
80K
py3-wbdata-1.1.0-r0.apk
2026-01-19 14:36
18K
py3-wbdata-pyc-1.1.0-r0.apk
2026-01-19 14:36
20K
py3-wg-netns-2.3.1-r1.apk
2024-10-25 20:12
7.6K
py3-wg-netns-pyc-2.3.1-r1.apk
2024-10-25 20:12
13K
py3-wgconfig-1.1.0-r0.apk
2025-01-29 06:49
22K
py3-wgconfig-pyc-1.1.0-r0.apk
2025-01-29 06:49
12K
py3-wifi-0.3.8-r7.apk
2024-10-25 20:12
13K
py3-wifi-pyc-0.3.8-r7.apk
2024-10-25 20:12
14K
py3-wikipedia-1.4.0-r0.apk
2025-09-14 02:03
12K
py3-wikipedia-doc-1.4.0-r0.apk
2025-09-14 02:03
4.1K
py3-wikipedia-pyc-1.4.0-r0.apk
2025-09-14 02:03
16K
py3-winacl-0.1.9-r1.apk
2025-05-29 12:07
83K
py3-winacl-pyc-0.1.9-r1.apk
2025-05-29 12:07
131K
py3-wsgiprox-1.5.2-r1.apk
2024-10-25 20:12
17K
py3-wsgiprox-pyc-1.5.2-r1.apk
2024-10-25 20:12
28K
py3-wtf-peewee-3.0.6-r0.apk
2024-10-25 20:12
13K
py3-wtf-peewee-pyc-3.0.6-r0.apk
2024-10-25 20:12
25K
py3-x-wr-timezone-2.0.1-r0.apk
2025-02-09 15:13
12K
py3-x-wr-timezone-pyc-2.0.1-r0.apk
2025-02-09 15:13
7.1K
py3-xapp-3.0.1-r0.apk
2026-01-08 21:02
72K
py3-xdoctest-1.2.0-r0.apk
2024-11-21 13:32
312K
py3-xsdata-26.1-r0.apk
2026-01-20 11:16
185K
py3-xsdata-pyc-26.1-r0.apk
2026-01-20 11:16
392K
py3-yapsy-1.12.2-r7.apk
2024-10-25 20:12
32K
py3-yapsy-pyc-1.12.2-r7.apk
2024-10-25 20:12
47K
py3-yara-4.5.4-r0.apk
2025-08-13 15:32
19K
py3-yosys-0.57-r0.apk
2025-10-24 21:50
557K
py3-youtube-search-1.6.6-r5.apk
2025-05-14 18:17
78K
py3-youtube-search-pyc-1.6.6-r5.apk
2025-05-14 18:17
95K
py3-zimscraperlib-3.4.0-r0.apk
2024-11-06 09:41
52K
py3-zimscraperlib-pyc-3.4.0-r0.apk
2024-11-06 09:41
68K
py3-zipfile2-0.0.12-r0.apk
2024-10-25 20:12
45K
py3-zipfile2-pyc-0.0.12-r0.apk
2024-10-25 20:12
29K
py3-zope-configuration-7.0-r0.apk
2025-12-02 00:39
39K
py3-zope-configuration-pyc-7.0-r0.apk
2025-12-02 00:39
49K
py3-zope-i18nmessageid-8.2-r0.apk
2025-12-25 12:29
14K
py3-zope-i18nmessageid-pyc-8.2-r0.apk
2025-12-25 12:29
8.4K
py3-zope-schema-7.0.1-r3.apk
2024-10-25 20:12
45K
py3-zope-schema-pyc-7.0.1-r3.apk
2024-10-25 20:12
61K
pyinfra-3.3.1-r0.apk
2025-07-16 00:27
192K
pyinfra-pyc-3.3.1-r0.apk
2025-07-16 00:27
361K
pympress-1.8.6-r0.apk
2025-11-10 05:00
180K
pympress-doc-1.8.6-r0.apk
2025-11-10 05:00
75K
pympress-lang-1.8.6-r0.apk
2025-11-10 05:00
59K
pympress-pyc-1.8.6-r0.apk
2025-11-10 05:00
182K
pyonji-0.1.0-r12.apk
2026-01-19 14:36
3.0M
pypy-7.3.19-r0.apk
2025-11-01 15:23
15M
pypy-bootstrap-7.3.19-r0.apk
2025-11-01 15:23
16M
pypy-dev-7.3.19-r0.apk
2025-11-01 15:23
75K
pypy-tkinter-7.3.19-r0.apk
2025-11-01 15:23
447K
pypy3-7.3.19-r0.apk
2025-11-01 15:23
15M
pypy3-dev-7.3.19-r0.apk
2025-11-01 15:23
579K
pypy3-pyc-7.3.19-r0.apk
2025-11-01 15:23
5.8M
pypy3-tests-7.3.19-r0.apk
2025-11-01 15:23
13M
pypy3-tkinter-7.3.19-r0.apk
2025-11-01 15:23
300K
pypykatz-0.6.13-r1.apk
2026-01-02 20:09
316K
pypykatz-pyc-0.6.13-r1.apk
2026-01-02 20:09
724K
pyradio-0.9.3.11-r0.apk
2024-10-25 20:12
871K
pyradio-doc-0.9.3.11-r0.apk
2024-10-25 20:12
113K
pyradio-pyc-0.9.3.11-r0.apk
2024-10-25 20:12
810K
q6voiced-0.2.1-r0.apk
2025-12-31 18:36
4.8K
q6voiced-doc-0.2.1-r0.apk
2025-12-31 18:36
2.3K
q6voiced-openrc-0.2.1-r0.apk
2025-12-31 18:36
1.8K
q6voiced-systemd-0.2.1-r0.apk
2025-12-31 18:36
1.8K
qadwaitadecorations-0.1.7-r2.apk
2026-01-19 14:36
52K
qbittorrent-cli-2.2.0-r6.apk
2026-01-19 14:36
6.1M
qdjango-0.6.2-r1.apk
2024-10-25 20:12
112K
qdjango-dev-0.6.2-r1.apk
2024-10-25 20:12
14K
qflipper-1.3.3-r1.apk
2024-10-25 20:12
527K
qflipper-gui-1.3.3-r1.apk
2024-10-25 20:12
1.1M
qmk-cli-1.2.0-r0.apk
2025-11-29 12:10
15K
qmk-cli-pyc-1.2.0-r0.apk
2025-11-29 12:10
23K
qmk-cli-udev-1.2.0-r0.apk
2025-11-29 12:10
2.4K
qml-box2d-0_git20180406-r0.apk
2024-10-25 20:12
147K
qoi-0.0.0_git20230312-r0.apk
2024-10-25 20:12
1.5K
qoi-dev-0.0.0_git20230312-r0.apk
2024-10-25 20:12
6.8K
qoiconv-0.0.0_git20230312-r0.apk
2024-10-25 20:12
30K
qownnotes-25.12.5-r0.apk
2025-12-14 16:16
2.7M
qownnotes-lang-25.12.5-r0.apk
2025-12-14 16:16
4.9M
qpdfview-0.5-r2.apk
2025-01-29 19:46
1.0M
qpdfview-doc-0.5-r2.apk
2025-01-29 19:46
4.2K
qperf-0.4.11-r2.apk
2025-05-12 21:41
32K
qperf-doc-0.4.11-r2.apk
2025-05-12 21:41
5.6K
qqc2-suru-style-0.20230206-r1.apk
2024-10-25 20:12
175K
qsstv-9.5.8-r2.apk
2024-10-25 20:12
1.0M
qstardict-2.0.2-r1.apk
2024-11-24 03:04
465K
qstardict-doc-2.0.2-r1.apk
2024-11-24 03:04
11K
qsynth-1.0.3-r0.apk
2025-11-25 11:01
466K
qsynth-doc-1.0.3-r0.apk
2025-11-25 11:01
4.4K
qt-wayland-shell-helpers-0.1.1-r3.apk
2024-10-25 20:12
13K
qt-wayland-shell-helpers-dev-0.1.1-r3.apk
2024-10-25 20:12
3.9K
qt5ct-1.9-r0.apk
2025-11-19 04:36
240K
qt5ct-dev-1.9-r0.apk
2025-11-19 04:36
1.5K
qt6-qtgraphs-6.10.1-r0.apk
2025-12-10 18:00
1.2M
qt6-qtgraphs-dev-6.10.1-r0.apk
2025-12-10 18:00
118K
qt6ct-0.11-r2.apk
2025-12-04 14:22
201K
qtile-0.33.0-r0.apk
2025-09-17 07:44
469K
qtile-pyc-0.33.0-r0.apk
2025-09-17 07:44
858K
qtmir-0.7.2_git20250407-r5.apk
2025-12-18 14:42
545K
qtmir-dev-0.7.2_git20250407-r5.apk
2025-12-18 14:42
6.6K
qtox-1.18.3-r0.apk
2025-11-29 16:52
5.1M
qtpass-1.4.0-r0.apk
2024-10-25 20:12
438K
qtpass-doc-1.4.0-r0.apk
2024-10-25 20:12
2.1K
quakespasm-0.96.3-r0.apk
2024-10-25 20:12
494K
queercat-1.0.0-r0.apk
2024-10-25 20:12
8.2K
quodlibet-4.7.1-r0.apk
2025-09-16 18:53
1.0M
quodlibet-bash-completion-4.7.1-r0.apk
2025-09-16 18:53
4.5K
quodlibet-doc-4.7.1-r0.apk
2025-09-16 18:53
8.7K
quodlibet-lang-4.7.1-r0.apk
2025-09-16 18:53
1.4M
quodlibet-pyc-4.7.1-r0.apk
2025-09-16 18:53
1.8M
quodlibet-zsh-completion-4.7.1-r0.apk
2025-09-16 18:53
2.7K
randrctl-1.10.0-r0.apk
2024-11-18 18:00
28K
randrctl-pyc-1.10.0-r0.apk
2024-11-18 18:00
31K
rankwidth-0.9-r4.apk
2025-10-28 02:10
5.7K
rankwidth-dev-0.9-r4.apk
2025-10-28 02:10
2.9K
rankwidth-doc-0.9-r4.apk
2025-10-28 02:10
2.9K
rankwidth-libs-0.9-r4.apk
2025-10-28 02:10
5.2K
rankwidth-static-0.9-r4.apk
2025-10-28 02:10
4.9K
raspberrypi-usbboot-20250227-r0.apk
2025-06-09 08:41
884K
rathole-0.5.0-r0.apk
2024-10-25 20:12
1.4M
rattler-build-0.18.0-r0.apk
2024-10-25 20:12
5.7M
rattler-build-bash-completion-0.18.0-r0.apk
2024-10-25 20:12
3.6K
rattler-build-doc-0.18.0-r0.apk
2024-10-25 20:12
6.7K
rattler-build-fish-completion-0.18.0-r0.apk
2024-10-25 20:12
4.7K
rattler-build-zsh-completion-0.18.0-r0.apk
2024-10-25 20:12
5.4K
rauc-1.10.1-r0.apk
2024-10-25 20:12
146K
rauc-doc-1.10.1-r0.apk
2024-10-25 20:12
4.2K
rauc-service-1.10.1-r0.apk
2024-10-25 20:12
3.7K
razercfg-0.42-r7.apk
2024-10-25 20:12
84K
razercfg-gui-0.42-r7.apk
2024-10-25 20:12
19K
razercfg-openrc-0.42-r7.apk
2024-10-25 20:12
1.7K
razercfg-pyc-0.42-r7.apk
2024-10-25 20:12
36K
rclone-browser-1.8.0-r1.apk
2024-10-25 20:12
349K
rdrview-0.1.3-r0.apk
2025-02-22 19:31
31K
rdrview-doc-0.1.3-r0.apk
2025-02-22 19:31
3.7K
reaction-2.2.1-r0.apk
2025-09-23 21:45
1.4M
reaction-openrc-2.2.1-r0.apk
2025-09-23 21:45
1.8K
reaction-tools-2.2.1-r0.apk
2025-09-23 21:45
5.1K
readosm-1.1.0-r3.apk
2025-05-12 21:41
16K
readosm-dev-1.1.0-r3.apk
2025-05-12 21:41
21K
reap-0.2-r0.apk
2025-09-13 13:01
5.2K
reap-doc-0.2-r0.apk
2025-09-13 13:01
2.7K
reaver-wps-fork-t6x-1.6.6-r1.apk
2024-10-25 20:12
419K
recoll-1.43.9-r0.apk
2025-12-28 08:01
3.2M
recoll-dev-1.43.9-r0.apk
2025-12-28 08:01
55K
recoll-doc-1.43.9-r0.apk
2025-12-28 08:01
34K
redhat-fonts-4.1.0-r1.apk
2025-08-09 02:08
809K
redlib-0.36.0-r0.apk
2025-10-27 08:30
2.8M
refine-0.7.0-r0.apk
2026-01-04 14:51
36K
refine-lang-0.7.0-r0.apk
2026-01-04 14:51
32K
reg-0.16.1-r34.apk
2026-01-19 14:36
4.9M
regal-0.36.1-r2.apk
2026-01-19 14:36
12M
regal-bash-completion-0.36.1-r2.apk
2026-01-19 14:36
6.1K
regal-fish-completion-0.36.1-r2.apk
2026-01-19 14:36
4.3K
regal-zsh-completion-0.36.1-r2.apk
2026-01-19 14:36
4.0K
regclient-0.11.1-r1.apk
2026-01-19 14:36
15M
remake-1.5-r1.apk
2024-10-25 20:12
147K
remake-dev-1.5-r1.apk
2024-10-25 20:12
2.9K
remake-doc-1.5-r1.apk
2024-10-25 20:12
202K
remake-make-1.5-r1.apk
2024-10-25 20:12
1.5K
remco-0.12.5-r6.apk
2026-01-19 14:36
10M
remco-doc-0.12.5-r6.apk
2026-01-19 14:36
2.3K
remco-openrc-0.12.5-r6.apk
2026-01-19 14:36
1.7K
remind-caldav-0.8.0-r4.apk
2024-10-25 20:12
18K
remind-caldav-pyc-0.8.0-r4.apk
2024-10-25 20:12
6.1K
repgrep-0.15.0-r0.apk
2024-10-25 20:12
1.2M
repgrep-bash-completion-0.15.0-r0.apk
2024-10-25 20:12
1.6K
repgrep-doc-0.15.0-r0.apk
2024-10-25 20:12
6.5K
repgrep-fish-completion-0.15.0-r0.apk
2024-10-25 20:12
4.1K
repgrep-zsh-completion-0.15.0-r0.apk
2024-10-25 20:12
1.6K
repo-2.60-r0.apk
2025-12-12 19:49
17K
repo-doc-2.60-r0.apk
2025-12-12 19:49
40K
repowerd-2023.07-r3.apk
2025-02-17 09:34
918K
repowerd-openrc-2023.07-r3.apk
2025-02-17 09:34
1.7K
reprotest-0.7.32-r0.apk
2025-11-02 14:21
80K
reprotest-pyc-0.7.32-r0.apk
2025-11-02 14:21
103K
reredirect-0.3-r0.apk
2024-10-25 20:12
9.0K
reredirect-doc-0.3-r0.apk
2024-10-25 20:12
2.8K
rescrobbled-0.8.0-r0.apk
2026-01-25 23:23
673K
rescrobbled-doc-0.8.0-r0.apk
2026-01-25 23:23
14K
rescrobbled-openrc-0.8.0-r0.apk
2026-01-25 23:23
1.7K
resources-1.9.1-r0.apk
2025-11-21 09:54
2.4M
resources-lang-1.9.1-r0.apk
2025-11-21 09:54
211K
responder-3.1.7.0-r0.apk
2025-10-14 14:55
747K
restart-services-0.17.0-r0.apk
2024-10-25 20:12
12K
restart-services-doc-0.17.0-r0.apk
2024-10-25 20:12
5.9K
restic.mk-0.4.0-r0.apk
2024-10-25 20:12
2.9K
restinio-0.6.19-r1.apk
2024-12-14 19:46
1.2K
restinio-dev-0.6.19-r1.apk
2024-12-14 19:46
268K
rezolus-2.11.1-r3.apk
2024-10-25 20:12
883K
rezolus-doc-2.11.1-r3.apk
2024-10-25 20:12
3.4K
rezolus-openrc-2.11.1-r3.apk
2024-10-25 20:12
2.1K
rgxg-0.1.2-r2.apk
2024-10-25 20:12
15K
rgxg-dev-0.1.2-r2.apk
2024-10-25 20:12
3.5K
rgxg-doc-0.1.2-r2.apk
2024-10-25 20:12
12K
rhasspy-nlu-0.4.0-r3.apk
2024-10-25 20:12
44K
rhasspy-nlu-pyc-0.4.0-r3.apk
2024-10-25 20:12
73K
ri-li-2.0.1-r1.apk
2024-10-25 20:12
18M
riemann-cli-0.8.0-r2.apk
2024-10-25 20:12
532K
rinetd-0.73-r0.apk
2024-10-25 20:12
14K
rinetd-doc-0.73-r0.apk
2024-10-25 20:12
16K
rinetd-openrc-0.73-r0.apk
2024-10-25 20:12
1.7K
ripasso-cursive-0.7.0-r1.apk
2026-01-19 14:36
3.2M
river-luatile-0.1.4-r0.apk
2025-05-19 14:30
265K
river-shifttags-0.2.1-r1.apk
2025-05-12 21:41
5.9K
river-shifttags-doc-0.2.1-r1.apk
2025-05-12 21:41
2.4K
rizin-0.8.1-r0.apk
2025-07-01 19:19
2.7M
rizin-cutter-2.4.1-r1.apk
2026-01-06 19:07
2.7M
rizin-cutter-dev-2.4.1-r1.apk
2026-01-06 19:07
103K
rizin-dev-0.8.1-r0.apk
2025-07-01 19:19
323K
rizin-doc-0.8.1-r0.apk
2025-07-01 19:19
19K
rizin-libs-0.8.1-r0.apk
2025-07-01 19:19
5.8M
rke-1.4.3-r21.apk
2026-01-19 14:36
21M
rke-doc-1.4.3-r21.apk
2026-01-19 14:36
3.0K
rmlint-2.10.2-r2.apk
2024-10-25 20:12
156K
rmlint-doc-2.10.2-r2.apk
2024-10-25 20:12
18K
rmlint-lang-2.10.2-r2.apk
2024-10-25 20:12
19K
rmlint-shredder-2.10.2-r2.apk
2024-10-25 20:12
96K
rmlint-shredder-pyc-2.10.2-r2.apk
2024-10-25 20:12
124K
rmtfs-1.1.1-r2.apk
2026-01-19 14:36
15K
rmtfs-doc-1.1.1-r2.apk
2026-01-19 14:36
2.4K
rmtfs-openrc-1.1.1-r2.apk
2026-01-19 14:36
2.1K
rmtfs-systemd-1.1.1-r2.apk
2026-01-19 14:36
1.8K
rmtfs-udev-1.1.1-r2.apk
2026-01-19 14:36
1.7K
rocm-cmake-6.4.3-r0.apk
2025-08-18 11:32
28K
rocm-cmake-doc-6.4.3-r0.apk
2025-08-18 11:32
2.4K
rocm-core-6.4.3-r0.apk
2025-08-18 11:32
7.7K
rocm-core-dev-6.4.3-r0.apk
2025-08-18 11:32
6.6K
rocm-core-doc-6.4.3-r0.apk
2025-08-18 11:32
2.4K
rofi-json-menu-0.2.0-r1.apk
2024-10-25 20:12
5.4K
rofi-pass-2.0.2-r2.apk
2024-10-25 20:12
8.8K
rofi-pass-doc-2.0.2-r2.apk
2024-10-25 20:12
5.0K
roll-2.6.1-r1.apk
2025-10-13 16:06
13K
roll-bash-completion-2.6.1-r1.apk
2025-10-13 16:06
1.8K
roll-doc-2.6.1-r1.apk
2025-10-13 16:06
11K
rollup-4.55.2-r0.apk
2026-01-22 23:42
1.1M
rollup-doc-4.55.2-r0.apk
2026-01-22 23:42
4.3K
ropgadget-7.7-r0.apk
2025-12-31 18:36
26K
ropgadget-pyc-7.7-r0.apk
2025-12-31 18:36
48K
rosdep-0.19.0-r6.apk
2024-10-25 20:12
66K
rosdep-pyc-0.19.0-r6.apk
2024-10-25 20:12
119K
rosenpass-0.2.2-r1.apk
2025-02-01 18:23
1.0M
rpg-cli-1.2.0-r0.apk
2024-10-25 20:12
603K
rpi-imager-1.9.0-r1.apk
2025-07-24 08:08
719K
rpi-imager-doc-1.9.0-r1.apk
2025-07-24 08:08
3.1K
rss-email-0.5.1-r0.apk
2025-08-10 11:21
2.2M
rss-email-doc-0.5.1-r0.apk
2025-08-10 11:21
6.4K
rsstail-2.2-r0.apk
2025-02-13 07:17
8.8K
rsstail-doc-2.2-r0.apk
2025-02-13 07:17
2.8K
rt5-5.0.8-r0.apk
2025-06-15 04:44
17M
rt6-6.0.1-r0.apk
2025-08-13 03:56
12M
rtl-power-fftw-20200601-r4.apk
2024-10-25 20:12
64K
rtl-power-fftw-doc-20200601-r4.apk
2024-10-25 20:12
8.2K
rtl88x2bu-src-5.13.1_git20230711-r0.apk
2024-10-25 20:12
4.0M
rtl8192eu-src-5.11.2.3_git20251220-r0.apk
2026-01-10 17:55
2.6M
rtl8812au-src-5.6.4.2_git20250530-r0.apk
2025-06-01 16:07
2.6M
rtl8821ce-src-6.16_git20250820-r0.apk
2025-09-01 07:03
4.3M
rtmidi-6.0.0-r0.apk
2024-10-25 20:12
32K
rtmidi-dev-6.0.0-r0.apk
2024-10-25 20:12
14K
rtptools-1.22-r2.apk
2024-10-25 20:12
30K
rtptools-doc-1.22-r2.apk
2024-10-25 20:12
13K
rtw89-src-7_p20230725-r0.apk
2024-10-25 20:12
759K
ruby-ansi-1.5.0-r0.apk
2025-07-06 18:48
26K
ruby-appraisal-2.5.0-r0.apk
2025-05-25 23:52
11K
ruby-appraisal-doc-2.5.0-r0.apk
2025-05-25 23:52
2.3K
ruby-benchmark-ips-2.14.0-r0.apk
2025-05-25 23:54
14K
ruby-benchmark-ips-doc-2.14.0-r0.apk
2025-05-25 23:54
2.3K
ruby-build-20250925-r0.apk
2025-09-28 15:45
93K
ruby-build-doc-20250925-r0.apk
2025-09-28 15:45
4.8K
ruby-build-runtime-20250925-r0.apk
2025-09-28 15:45
1.3K
ruby-byebug-13.0.0-r0.apk
2026-01-19 14:36
126K
ruby-coderay-1.1.3-r0.apk
2025-05-25 23:56
89K
ruby-coderay-doc-1.1.3-r0.apk
2025-05-25 23:56
2.3K
ruby-crack-1.0.1-r0.apk
2025-10-21 04:14
2.3K
ruby-crack-doc-1.0.1-r0.apk
2025-10-21 04:14
2.2K
ruby-dry-inflector-1.3.1-r0.apk
2026-01-19 14:36
8.3K
ruby-dry-inflector-doc-1.3.1-r0.apk
2026-01-19 14:36
2.3K
ruby-event_emitter-0.2.6-r0.apk
2025-05-26 00:00
3.1K
ruby-event_emitter-doc-0.2.6-r0.apk
2025-05-26 00:00
2.3K
ruby-facter-4.10.0-r0.apk
2025-07-28 02:04
218K
ruby-fast_gettext-3.1.0-r0.apk
2025-05-25 23:58
22K
ruby-hashdiff-1.2.1-r0.apk
2025-10-21 04:18
9.0K
ruby-hashdiff-doc-1.2.1-r0.apk
2025-10-21 04:18
2.3K
ruby-hoe-4.5.1-r0.apk
2026-01-04 00:57
29K
ruby-libguestfs-1.56.1-r0.apk
2025-07-22 22:05
106K
ruby-mail-2.9.0-r0.apk
2025-10-24 12:36
394K
ruby-minitest-autotest-1.2.1-r0.apk
2026-01-10 13:08
14K
ruby-minitest-focus-1.4.1-r0.apk
2026-01-04 00:58
6.4K
ruby-minitest-power_assert-0.3.1-r0.apk
2025-07-06 18:48
2.2K
ruby-minitest-proveit-1.0.0-r0.apk
2025-07-06 18:48
4.8K
ruby-minitest-reporters-1.7.1-r0.apk
2025-07-06 18:48
20K
ruby-minitest-server-1.0.9-r0.apk
2025-11-21 15:40
5.4K
ruby-path_expander-2.0.1-r0.apk
2026-01-10 05:04
6.7K
ruby-ruby-progressbar-1.13.0-r0.apk
2025-07-06 18:48
25K
ruff-lsp-0.0.62-r0.apk
2025-03-06 22:29
21K
ruff-lsp-pyc-0.0.62-r0.apk
2025-03-06 22:29
35K
runst-0.2.0-r0.apk
2025-11-14 21:14
1.9M
runst-doc-0.2.0-r0.apk
2025-11-14 21:14
7.8K
ruri-3.9.3-r0.apk
2025-10-27 08:30
117K
ruri-doc-3.9.3-r0.apk
2025-10-27 08:30
2.2K
rust-script-0.36.0-r0.apk
2025-09-06 20:30
902K
rustdesk-server-1.1.10.3-r0.apk
2024-10-25 20:12
2.4M
rustdesk-server-openrc-1.1.10.3-r0.apk
2024-10-25 20:12
2.3K
rustic-0.9.3-r0.apk
2024-10-25 20:12
6.0M
rustic-bash-completion-0.9.3-r0.apk
2024-10-25 20:12
8.6K
rustic-fish-completion-0.9.3-r0.apk
2024-10-25 20:12
17K
rustic-zsh-completion-0.9.3-r0.apk
2024-10-25 20:12
13K
rustical-0.11.11-r0.apk
2026-01-11 13:51
4.4M
rustls-ffi-0.15.0-r0.apk
2025-12-27 21:42
1.0M
rustls-ffi-dev-0.15.0-r0.apk
2025-12-27 21:42
2.3M
rustscan-2.4.1-r0.apk
2025-12-22 02:32
1.5M
ruuvi-prometheus-0.1.9-r8.apk
2026-01-19 14:36
3.6M
ruuvi-prometheus-openrc-0.1.9-r8.apk
2026-01-19 14:36
1.7K
rvlprog-0.91-r2.apk
2024-10-25 20:12
29K
ry-0.5.2-r1.apk
2024-10-25 20:12
4.6K
ry-bash-completion-0.5.2-r1.apk
2024-10-25 20:12
2.0K
ry-zsh-completion-0.5.2-r1.apk
2024-10-25 20:12
2.3K
rygel-45.1-r0.apk
2026-01-05 15:35
800K
rygel-dev-45.1-r0.apk
2026-01-05 15:35
43K
rygel-doc-45.1-r0.apk
2026-01-05 15:35
9.7K
rygel-lang-45.1-r0.apk
2026-01-05 15:35
591K
rygel-systemd-45.1-r0.apk
2026-01-05 15:35
1.7K
s-dkim-sign-0.6.2-r0.apk
2024-10-25 20:12
61K
s-dkim-sign-doc-0.6.2-r0.apk
2024-10-25 20:12
8.5K
s-postgray-0.8.3-r0.apk
2024-10-25 20:12
50K
s-postgray-doc-0.8.3-r0.apk
2024-10-25 20:12
9.6K
s5cmd-2.3.0-r9.apk
2026-01-19 14:36
5.4M
saait-0.8-r0.apk
2024-10-25 20:12
7.2K
saait-doc-0.8-r0.apk
2024-10-25 20:12
13K
sacc-1.07-r1.apk
2025-09-12 22:22
15K
sacc-doc-1.07-r1.apk
2025-09-12 22:22
2.9K
sandbar-0.2_git20250909-r0.apk
2025-12-21 14:37
15K
satellite-1.0.0-r34.apk
2026-01-19 14:36
2.4M
satellite-doc-1.0.0-r34.apk
2026-01-19 14:36
3.0K
satellite-openrc-1.0.0-r34.apk
2026-01-19 14:36
1.9K
sauerbraten-2020.12.29-r4.apk
2025-02-20 18:40
934M
sbase-0_git20210730-r3.apk
2024-10-25 20:12
119K
sbase-doc-0_git20210730-r3.apk
2024-10-25 20:12
58K
sblg-0.5.11-r0.apk
2024-10-25 20:12
47K
sblg-doc-0.5.11-r0.apk
2024-10-25 20:12
1.3M
sblim-sfcc-2.2.8-r3.apk
2024-10-25 20:12
55K
sblim-sfcc-dev-2.2.8-r3.apk
2024-10-25 20:12
22K
sblim-sfcc-doc-2.2.8-r3.apk
2024-10-25 20:12
35K
sblim-wbemcli-1.6.3-r1.apk
2024-10-25 20:12
112K
sblim-wbemcli-doc-1.6.3-r1.apk
2024-10-25 20:12
4.5K
sc-controller-0.5.5-r0.apk
2025-12-14 08:56
1.3M
sc-controller-pyc-0.5.5-r0.apk
2025-12-14 08:56
814K
sc-controller-udev-0.5.5-r0.apk
2025-12-14 08:56
2.1K
sc3-plugins-3.13.0-r2.apk
2025-02-08 23:44
11M
scalingo-1.30.0-r16.apk
2026-01-19 14:36
5.6M
scap-workbench-1.2.1-r3.apk
2024-10-25 20:12
249K
scap-workbench-doc-1.2.1-r3.apk
2024-10-25 20:12
1.6M
scenefx-0.4.1-r0.apk
2025-12-20 23:13
59K
scenefx-dev-0.4.1-r0.apk
2025-12-20 23:13
11K
scenefx-doc-0.4.1-r0.apk
2025-12-20 23:13
2.3K
schismtracker-20251014-r0.apk
2025-10-24 23:51
453K
schismtracker-doc-20251014-r0.apk
2025-10-24 23:51
6.3K
scooper-1.3-r1.apk
2024-10-25 20:12
504K
scooper-doc-1.3-r1.apk
2024-10-25 20:12
2.6K
screenkey-1.5-r7.apk
2025-07-22 20:52
76K
screenkey-doc-1.5-r7.apk
2025-07-22 20:52
11K
screenkey-pyc-1.5-r7.apk
2025-07-22 20:52
73K
sct-2018.12.18-r1.apk
2024-10-25 20:12
3.8K
sdl3_image-3.2.6-r0.apk
2026-01-07 10:54
78K
sdl3_image-dev-3.2.6-r0.apk
2026-01-07 10:54
12K
sdl3_image-doc-3.2.6-r0.apk
2026-01-07 10:54
2.1K
sdparm-1.12-r1.apk
2024-10-25 20:12
147K
sdparm-doc-1.12-r1.apk
2024-10-25 20:12
19K
seaweedfs-3.93-r5.apk
2026-01-19 14:36
27M
seaweedfs-doc-3.93-r5.apk
2026-01-19 14:36
14K
seaweedfs-openrc-3.93-r5.apk
2026-01-19 14:36
1.9K
secsipidx-1.3.2-r18.apk
2026-01-19 14:36
2.9M
secsipidx-dev-1.3.2-r18.apk
2026-01-19 14:36
5.2M
secsipidx-libs-1.3.2-r18.apk
2026-01-19 14:36
2.6M
sedutil-1.15.1-r1.apk
2024-10-25 20:12
195K
sedutil-doc-1.15.1-r1.apk
2024-10-25 20:12
3.1K
semaphoreui-2.16.37-r2.apk
2026-01-19 14:36
16M
semaphoreui-bash-completion-2.16.37-r2.apk
2026-01-19 14:36
6.1K
semaphoreui-doc-2.16.37-r2.apk
2026-01-19 14:36
2.3K
semaphoreui-fish-completion-2.16.37-r2.apk
2026-01-19 14:36
4.3K
semaphoreui-openrc-2.16.37-r2.apk
2026-01-19 14:36
2.1K
semaphoreui-zsh-completion-2.16.37-r2.apk
2026-01-19 14:36
4.0K
sentinel-minipot-2.3.0-r1.apk
2024-10-25 20:12
43K
sentinel-minipot-openrc-2.3.0-r1.apk
2024-10-25 20:12
2.6K
sentinel-proxy-2.1.0-r1.apk
2025-06-13 14:22
44K
sentinel-proxy-dev-2.1.0-r1.apk
2025-06-13 14:22
4.6K
sentinel-proxy-openrc-2.1.0-r1.apk
2025-06-13 14:22
2.2K
serialdv-1.1.5-r0.apk
2025-10-24 20:26
7.1K
serialdv-dev-1.1.5-r0.apk
2025-10-24 20:26
5.3K
serialdv-libs-1.1.5-r0.apk
2025-10-24 20:26
71K
serie-0.5.7-r0.apk
2026-01-21 19:17
1.0M
serie-doc-0.5.7-r0.apk
2026-01-21 19:17
8.4K
setroot-2.0.2-r1.apk
2024-10-25 20:12
12K
setroot-doc-2.0.2-r1.apk
2024-10-25 20:12
4.4K
sfizz-1.2.3-r1.apk
2025-10-02 16:43
1.9M
sfizz-dev-1.2.3-r1.apk
2025-10-02 16:43
15K
sfizz-doc-1.2.3-r1.apk
2025-10-02 16:43
3.0K
sflowtool-6.02-r0.apk
2024-10-25 20:12
41K
sflowtool-doc-6.02-r0.apk
2024-10-25 20:12
9.4K
sfwbar-1.0_beta161-r0.apk
2025-07-31 13:29
275K
sfwbar-doc-1.0_beta161-r0.apk
2025-07-31 13:29
27K
sgt-puzzles-0_git20230310-r2.apk
2024-10-25 20:12
2.6M
shc-4.0.3-r2.apk
2024-10-25 20:12
16K
shellinabox-2.21-r3.apk
2024-10-25 20:12
118K
shellinabox-doc-2.21-r3.apk
2024-10-25 20:12
19K
shellinabox-openrc-2.21-r3.apk
2024-10-25 20:12
3.5K
shine-3.1.1-r0.apk
2024-10-25 20:12
56K
shipments-0.3.0-r0.apk
2024-10-25 20:12
23K
shntool-3.0.10-r6.apk
2026-01-19 14:36
59K
shntool-doc-3.0.10-r6.apk
2026-01-19 14:36
10K
shutdown-clear-machine-id-1.0.0-r0.apk
2024-10-25 20:12
1.8K
sigma-0.23.1-r1.apk
2024-10-25 20:12
237K
sigma-pyc-0.23.1-r1.apk
2024-10-25 20:12
340K
sigrok-cli-0.7.2-r0.apk
2024-10-25 20:12
43K
sigrok-cli-doc-0.7.2-r0.apk
2024-10-25 20:12
8.0K
sigrok-firmware-fx2lafw-bin-0.1.7-r0.apk
2024-10-25 20:12
13K
silc-client-1.1.11-r18.apk
2025-07-01 19:19
876K
silc-client-doc-1.1.11-r18.apk
2025-07-01 19:19
82K
simgear-2024.1.1-r0.apk
2025-03-05 00:14
2.4M
simgear-dev-2024.1.1-r0.apk
2025-03-05 00:14
404K
simh-3.11.1-r1.apk
2024-10-25 20:12
3.2M
simp1e-cursors-0_git20250312-r0.apk
2025-03-13 12:28
447K
simp1e-cursors-adw-0_git20250312-r0.apk
2025-03-13 12:28
478K
simp1e-cursors-adw-dark-0_git20250312-r0.apk
2025-03-13 12:28
482K
simp1e-cursors-adw-dark-left-0_git20250312-r0.apk
2025-03-13 12:28
485K
simp1e-cursors-adw-left-0_git20250312-r0.apk
2025-03-13 12:28
483K
simp1e-cursors-breeze-0_git20250312-r0.apk
2025-03-13 12:28
504K
simp1e-cursors-breeze-dark-0_git20250312-r0.apk
2025-03-13 12:28
520K
simp1e-cursors-breeze-dark-left-0_git20250312-r0.apk
2025-03-13 12:28
526K
simp1e-cursors-breeze-left-0_git20250312-r0.apk
2025-03-13 12:28
509K
simp1e-cursors-catppuccin-frappe-0_git20250312-r0.apk
2025-03-13 12:28
535K
simp1e-cursors-catppuccin-frappe-left-0_git20250312-r0.apk
2025-03-13 12:28
547K
simp1e-cursors-catppuccin-latte-0_git20250312-r0.apk
2025-03-13 12:28
528K
simp1e-cursors-catppuccin-latte-left-0_git20250312-r0.apk
2025-03-13 12:28
537K
simp1e-cursors-catppuccin-macchiato-0_git20250312-r0.apk
2025-03-13 12:28
533K
simp1e-cursors-catppuccin-macchiato-left-0_git20250312-r0.apk
2025-03-13 12:28
544K
simp1e-cursors-catppuccin-mocha-0_git20250312-r0.apk
2025-03-13 12:28
530K
simp1e-cursors-catppuccin-mocha-left-0_git20250312-r0.apk
2025-03-13 12:28
540K
simp1e-cursors-dark-0_git20250312-r0.apk
2025-03-13 12:28
484K
simp1e-cursors-dark-left-0_git20250312-r0.apk
2025-03-13 12:28
490K
simp1e-cursors-doc-0_git20250312-r0.apk
2025-03-13 12:28
14K
simp1e-cursors-gruvbox-dark-0_git20250312-r0.apk
2025-03-13 12:28
525K
simp1e-cursors-gruvbox-dark-left-0_git20250312-r0.apk
2025-03-13 12:28
537K
simp1e-cursors-gruvbox-light-0_git20250312-r0.apk
2025-03-13 12:28
517K
simp1e-cursors-gruvbox-light-left-0_git20250312-r0.apk
2025-03-13 12:28
527K
simp1e-cursors-left-0_git20250312-r0.apk
2025-03-13 12:28
449K
simp1e-cursors-mix-dark-0_git20250312-r0.apk
2025-03-13 12:28
487K
simp1e-cursors-mix-dark-left-0_git20250312-r0.apk
2025-03-13 12:28
492K
simp1e-cursors-mix-light-0_git20250312-r0.apk
2025-03-13 12:28
450K
simp1e-cursors-mix-light-left-0_git20250312-r0.apk
2025-03-13 12:28
451K
simp1e-cursors-nord-dark-0_git20250312-r0.apk
2025-03-13 12:28
536K
simp1e-cursors-nord-dark-left-0_git20250312-r0.apk
2025-03-13 12:28
549K
simp1e-cursors-nord-light-0_git20250312-r0.apk
2025-03-13 12:28
518K
simp1e-cursors-nord-light-left-0_git20250312-r0.apk
2025-03-13 12:28
525K
simp1e-cursors-rose-pine-0_git20250312-r0.apk
2025-03-13 12:28
533K
simp1e-cursors-rose-pine-dawn-0_git20250312-r0.apk
2025-03-13 12:28
538K
simp1e-cursors-rose-pine-dawn-left-0_git20250312-r0.apk
2025-03-13 12:28
551K
simp1e-cursors-rose-pine-left-0_git20250312-r0.apk
2025-03-13 12:28
545K
simp1e-cursors-rose-pine-moon-0_git20250312-r0.apk
2025-03-13 12:28
538K
simp1e-cursors-rose-pine-moon-left-0_git20250312-r0.apk
2025-03-13 12:28
550K
simp1e-cursors-solarized-dark-0_git20250312-r0.apk
2025-03-13 12:28
524K
simp1e-cursors-solarized-dark-left-0_git20250312-r0.apk
2025-03-13 12:28
535K
simp1e-cursors-solarized-light-0_git20250312-r0.apk
2025-03-13 12:28
531K
simp1e-cursors-solarized-light-left-0_git20250312-r0.apk
2025-03-13 12:28
542K
simp1e-cursors-tokyo-night-0_git20250312-r0.apk
2025-03-13 12:28
530K
simp1e-cursors-tokyo-night-left-0_git20250312-r0.apk
2025-03-13 12:28
541K
simp1e-cursors-tokyo-night-light-0_git20250312-r0.apk
2025-03-13 12:28
520K
simp1e-cursors-tokyo-night-light-left-0_git20250312-r0.apk
2025-03-13 12:28
527K
simp1e-cursors-tokyo-night-storm-0_git20250312-r0.apk
2025-03-13 12:28
534K
simp1e-cursors-tokyo-night-storm-left-0_git20250312-r0.apk
2025-03-13 12:28
546K
simp1e-cursors-zenburn-0_git20250312-r0.apk
2025-03-13 12:28
529K
simp1e-cursors-zenburn-left-0_git20250312-r0.apk
2025-03-13 12:28
540K
simpleble-0.10.4-r0.apk
2026-01-13 03:12
1.2K
simpleble-dev-0.10.4-r0.apk
2026-01-13 03:12
30K
sipexer-1.2.0-r9.apk
2026-01-19 14:36
3.0M
sipgrep-2.2.0-r1.apk
2024-10-25 20:12
27K
siril-1.2.6-r5.apk
2025-09-27 21:22
2.9M
siril-doc-1.2.6-r5.apk
2025-09-27 21:22
18K
siril-lang-1.2.6-r5.apk
2025-09-27 21:22
1.6M
sish-2.16.1-r11.apk
2026-01-19 14:36
8.4M
sish-openrc-2.16.1-r11.apk
2026-01-19 14:36
1.9K
sloccount-2.26-r3.apk
2024-10-25 20:12
59K
sloccount-doc-2.26-r3.apk
2024-10-25 20:12
59K
slurm-0.4.4-r0.apk
2024-10-25 20:12
14K
slurm-doc-0.4.4-r0.apk
2024-10-25 20:12
2.3K
smassh-3.1.6-r0.apk
2024-11-21 02:56
72K
smassh-pyc-3.1.6-r0.apk
2024-11-21 02:56
70K
smb4k-4.0.5-r0.apk
2026-01-10 20:18
1.8M
smb4k-doc-4.0.5-r0.apk
2026-01-10 20:18
4.6M
smile-2.11.0-r0.apk
2025-12-21 11:40
873K
smile-lang-2.11.0-r0.apk
2025-12-21 11:40
28K
smplxmpp-0.9.3-r5.apk
2025-10-12 12:13
154K
smplxmpp-doc-0.9.3-r5.apk
2025-10-12 12:13
25K
snapper-0.13.0-r0.apk
2025-09-16 18:45
1.0M
snapper-bash-completion-0.13.0-r0.apk
2025-09-16 18:45
3.4K
snapper-dev-0.13.0-r0.apk
2025-09-16 18:45
11K
snapper-doc-0.13.0-r0.apk
2025-09-16 18:45
25K
snapper-lang-0.13.0-r0.apk
2025-09-16 18:45
219K
snapper-zsh-completion-0.13.0-r0.apk
2025-09-16 18:45
3.6K
snapraid-13.0-r0.apk
2025-11-01 00:22
291K
snapraid-doc-13.0-r0.apk
2025-11-01 00:22
19K
snippets-ls-0.0.4_git20240617-r11.apk
2026-01-19 14:36
1.4M
snore-0.3.1-r0.apk
2024-10-25 20:12
4.5K
snore-doc-0.3.1-r0.apk
2024-10-25 20:12
3.1K
so-0.4.10-r0.apk
2024-10-25 20:12
2.0M
soapy-bladerf-0.4.2-r0.apk
2024-12-31 01:11
51K
solanum-6.0.0-r0.apk
2025-04-11 18:13
261K
solanum-lang-6.0.0-r0.apk
2025-04-11 18:13
47K
solarus-engine-1.7.0-r2.apk
2025-07-20 19:30
2.2M
solarus-engine-doc-1.7.0-r2.apk
2025-07-20 19:30
3.3K
solarus-quest-editor-1.7.0-r1.apk
2025-02-20 18:40
56M
somebar-1.0.3-r0.apk
2024-10-25 20:12
50K
somebar-doc-1.0.3-r0.apk
2024-10-25 20:12
2.4K
sonicradio-0.8.12-r1.apk
2026-01-19 14:36
4.6M
sopwith-2.5.0-r0.apk
2024-10-25 20:12
48K
sopwith-doc-2.5.0-r0.apk
2024-10-25 20:12
15K
sos-0.8-r37.apk
2026-01-19 14:36
2.9M
soundconverter-4.1.1-r0.apk
2025-07-29 13:12
165K
soundconverter-doc-4.1.1-r0.apk
2025-07-29 13:12
4.7K
soundconverter-lang-4.1.1-r0.apk
2025-07-29 13:12
107K
soundconverter-pyc-4.1.1-r0.apk
2025-07-29 13:12
77K
spacectl-1.12.0-r7.apk
2026-01-19 14:36
6.0M
spacectl-bash-completion-1.12.0-r7.apk
2026-01-19 14:36
2.1K
spacectl-doc-1.12.0-r7.apk
2026-01-19 14:36
2.3K
spacectl-fish-completion-1.12.0-r7.apk
2026-01-19 14:36
7.1K
spacectl-zsh-completion-1.12.0-r7.apk
2026-01-19 14:36
1.8K
spark-2.8.3-r1.apk
2024-10-25 20:12
29M
speedcrunch-0.12-r3.apk
2024-10-25 20:12
1.2M
speedtest-5.2.5-r1.apk
2024-10-25 20:12
253K
speedtest-doc-5.2.5-r1.apk
2024-10-25 20:12
18K
speedtest-examples-5.2.5-r1.apk
2024-10-25 20:12
13K
speedtest-go-1.1.5-r21.apk
2026-01-19 14:36
5.7M
speedtest-go-doc-1.1.5-r21.apk
2026-01-19 14:36
4.5K
speedtest-go-openrc-1.1.5-r21.apk
2026-01-19 14:36
1.7K
speedtest_exporter-0.3.2-r21.apk
2026-01-19 14:36
4.2M
speedtest_exporter-openrc-0.3.2-r21.apk
2026-01-19 14:36
1.9K
spice-html5-0.3.0-r1.apk
2024-10-25 20:12
438K
spike-1.1.0-r0.apk
2024-10-25 20:12
1.1M
spiped-1.6.4-r0.apk
2025-12-04 14:22
84K
spnavcfg-1.3-r0.apk
2026-01-09 23:44
39K
spotify-player-0.20.4-r0.apk
2025-03-02 15:56
4.3M
spotify-tui-0.25.0-r2.apk
2024-10-25 20:12
1.9M
spread-sheet-widget-0.10-r0.apk
2024-10-25 20:12
46K
spread-sheet-widget-dbg-0.10-r0.apk
2024-10-25 20:12
174K
spread-sheet-widget-dev-0.10-r0.apk
2024-10-25 20:12
327K
spread-sheet-widget-doc-0.10-r0.apk
2024-10-25 20:12
4.6K
spreadtrum_flash-1.20240815-r0.apk
2025-05-08 12:15
38K
sqlar-0_git20180107-r1.apk
2024-10-25 20:12
13K
sqlar-doc-0_git20180107-r1.apk
2024-10-25 20:12
3.3K
sqliteodbc-0.99991-r0.apk
2024-10-25 20:12
88K
sqlmap-1.10-r0.apk
2026-01-12 14:04
6.8M
sqlmap-pyc-1.10-r0.apk
2026-01-12 14:04
1.2M
sqm-scripts-1.6.0-r0.apk
2024-10-25 20:12
20K
sqruff-0.25.26-r0.apk
2025-04-13 20:32
2.0M
sqruff-doc-0.25.26-r0.apk
2025-04-13 20:32
8.6K
srain-1.8.1-r0.apk
2025-06-26 18:42
161K
srain-lang-1.8.1-r0.apk
2025-06-26 18:42
35K
srb2-2.2.15-r2.apk
2025-12-20 15:19
1.8M
srb2-data-2.2.15-r2.apk
2025-12-20 15:19
160M
sregex-0.0.1-r1.apk
2024-10-25 20:12
23K
sregex-dev-0.0.1-r1.apk
2024-10-25 20:12
27K
ssdfs-tools-4.09-r0.apk
2024-10-25 20:12
97K
ssdfs-tools-dev-4.09-r0.apk
2024-10-25 20:12
18K
ssh-get-id-0.2.0-r1.apk
2026-01-19 14:36
2.5M
ssh-get-id-doc-0.2.0-r1.apk
2026-01-19 14:36
2.3K
ssh-honeypot-0.1.1-r1.apk
2024-10-25 20:12
8.5K
ssh-honeypot-openrc-0.1.1-r1.apk
2024-10-25 20:12
2.1K
ssh-studio-1.3.1-r0.apk
2025-10-12 20:35
202K
ssh-studio-lang-1.3.1-r0.apk
2025-10-12 20:35
1.9K
ssh-studio-pyc-1.3.1-r0.apk
2025-10-12 20:35
107K
ssh-tools-1.8-r0.apk
2024-10-25 20:12
26K
sshm-1.10.0-r1.apk
2026-01-19 14:36
4.1M
sshm-doc-1.10.0-r1.apk
2026-01-19 14:36
2.3K
sshs-4.7.2-r0.apk
2025-04-23 22:52
743K
sshsrv-1.0-r18.apk
2026-01-19 14:36
1.0M
sshuttle-1.1.2-r0.apk
2024-10-25 20:12
62K
sshuttle-doc-1.1.2-r0.apk
2024-10-25 20:12
8.5K
sshuttle-pyc-1.1.2-r0.apk
2024-10-25 20:12
101K
sssd-2.11.1-r2.apk
2025-11-20 07:32
2.0M
sssd-dev-2.11.1-r2.apk
2025-11-20 07:32
15K
sssd-openrc-2.11.1-r2.apk
2025-11-20 07:32
1.7K
ssss-0.5.7-r0.apk
2024-10-25 20:12
13K
ssss-doc-0.5.7-r0.apk
2024-10-25 20:12
3.3K
sstp-client-1.0.20-r3.apk
2025-10-24 02:38
39K
sstp-client-dev-1.0.20-r3.apk
2025-10-24 02:38
5.3K
sstp-client-doc-1.0.20-r3.apk
2025-10-24 02:38
4.9K
stalwart-cli-0.15.4-r3.apk
2026-01-22 00:10
2.0M
stalwart-mail-0.15.4-r3.apk
2026-01-22 00:10
19M
stalwart-mail-openrc-0.15.4-r3.apk
2026-01-22 00:10
2.1K
stam-0.12.2-r0.apk
2025-09-22 12:09
1.6M
starfighter-2.4-r0.apk
2024-10-25 20:12
48M
starfighter-doc-2.4-r0.apk
2024-10-25 20:12
22K
startup-2.0.3-r5.apk
2024-10-25 20:12
411K
startup-bridge-dconf-2.0.3-r5.apk
2024-10-25 20:12
30K
startup-bridge-udev-2.0.3-r5.apk
2024-10-25 20:12
30K
startup-dev-2.0.3-r5.apk
2024-10-25 20:12
5.8K
startup-doc-2.0.3-r5.apk
2024-10-25 20:12
48K
startup-fish-completion-2.0.3-r5.apk
2024-10-25 20:12
5.4K
startup-lang-2.0.3-r5.apk
2024-10-25 20:12
17K
startup-tools-2.0.3-r5.apk
2024-10-25 20:12
13K
stayrtr-0.6.3-r2.apk
2026-01-19 14:36
12M
stayrtr-openrc-0.6.3-r2.apk
2026-01-19 14:36
2.0K
steamguard-cli-0.17.1-r0.apk
2025-07-10 17:35
3.1M
steamguard-cli-bash-completion-0.17.1-r0.apk
2025-07-10 17:35
2.9K
steamguard-cli-zsh-completion-0.17.1-r0.apk
2025-07-10 17:35
4.2K
steghide-0.5.1.1-r0.apk
2024-10-25 20:12
161K
steghide-doc-0.5.1.1-r0.apk
2024-10-25 20:12
14K
stern-1.33.0-r2.apk
2026-01-19 14:36
20M
stern-bash-completion-1.33.0-r2.apk
2026-01-19 14:36
5.8K
stern-fish-completion-1.33.0-r2.apk
2026-01-19 14:36
4.3K
stern-zsh-completion-1.33.0-r2.apk
2026-01-19 14:36
4.0K
sthttpd-2.27.1-r2.apk
2024-10-25 20:12
62K
sthttpd-doc-2.27.1-r2.apk
2024-10-25 20:12
18K
sthttpd-openrc-2.27.1-r2.apk
2024-10-25 20:12
2.0K
stockfish-17-r0.apk
2025-03-03 22:41
62M
stone-soup-0.33.1-r0.apk
2025-12-26 14:51
31M
sturmreader-3.7.2-r2.apk
2025-09-06 20:30
1.0M
sturmreader-lang-3.7.2-r2.apk
2025-09-06 20:30
39K
stw-0.3-r0.apk
2024-10-25 20:12
8.0K
stw-doc-0.3-r0.apk
2024-10-25 20:12
2.5K
sublime-music-0.12.0-r1.apk
2024-10-25 20:12
190K
sublime-music-pyc-0.12.0-r1.apk
2024-10-25 20:12
302K
subliminal-2.4.0-r0.apk
2025-11-23 23:11
90K
subliminal-pyc-2.4.0-r0.apk
2025-11-23 23:11
179K
subtitleeditor-0.55.0-r0.apk
2025-11-20 07:53
1.7M
subtitleeditor-dev-0.55.0-r0.apk
2025-11-20 07:53
1.6K
subtitleeditor-doc-0.55.0-r0.apk
2025-11-20 07:53
2.9K
sudo-ldap-1.9.17_p1-r0.apk
2025-07-01 19:19
713K
supercollider-3.14.1-r0.apk
2026-01-08 23:38
8.6M
supercollider-dev-3.14.1-r0.apk
2026-01-08 23:38
40K
supermin-5.2.2-r2.apk
2024-10-25 20:12
493K
supermin-doc-5.2.2-r2.apk
2024-10-25 20:12
9.4K
supersonik-0.1.0-r3.apk
2025-12-04 14:22
1.1M
surf-2.1-r3.apk
2024-10-25 20:12
23K
surf-doc-2.1-r3.apk
2024-10-25 20:12
4.6K
surfraw-2.3.0-r0.apk
2024-10-25 20:12
79K
surfraw-doc-2.3.0-r0.apk
2024-10-25 20:12
18K
suru-icon-theme-2025.05.0-r0.apk
2025-05-09 17:35
2.9M
svgbob-0.7.6-r0.apk
2025-02-01 19:51
467K
svls-0.2.14-r0.apk
2025-12-13 03:00
3.5M
svls-doc-0.2.14-r0.apk
2025-12-13 03:00
2.2K
swaks-20240103.0-r0.apk
2024-10-25 20:12
66K
swaks-doc-20240103.0-r0.apk
2024-10-25 20:12
50K
swappy-1.7.1-r0.apk
2025-08-21 23:29
30K
swappy-doc-1.7.1-r0.apk
2025-08-21 23:29
3.8K
swappy-lang-1.7.1-r0.apk
2025-08-21 23:29
3.8K
sway-audio-idle-inhibit-0.1.2-r0.apk
2024-10-25 20:12
10K
swayhide-0.2.1-r2.apk
2024-10-25 20:12
263K
swhkd-1.2.1-r0.apk
2024-10-25 20:12
1.1M
swhkd-doc-1.2.1-r0.apk
2024-10-25 20:12
6.2K
swi-prolog-9.2.9-r0.apk
2024-12-21 10:10
5.0M
swi-prolog-doc-9.2.9-r0.apk
2024-12-21 10:10
2.1M
swi-prolog-pyc-9.2.9-r0.apk
2024-12-21 10:10
22K
swi-prolog-xpce-9.2.9-r0.apk
2024-12-21 10:10
922K
swi-prolog-xpce-doc-9.2.9-r0.apk
2024-12-21 10:10
1.0M
sxcs-1.1.0-r0.apk
2024-10-25 20:12
8.3K
sxcs-doc-1.1.0-r0.apk
2024-10-25 20:12
2.6K
syd-tui-0.2.2-r0.apk
2025-12-07 22:30
419K
sydbox-3.45.2-r0.apk
2025-12-03 02:44
4.7M
sydbox-doc-3.45.2-r0.apk
2025-12-03 02:44
165K
sydbox-syd-3.45.2-r0.apk
2025-12-03 02:44
2.0M
sydbox-test-3.45.2-r0.apk
2025-12-03 02:44
1.7M
sydbox-utils-3.45.2-r0.apk
2025-12-03 02:44
6.1M
sydbox-vim-3.45.2-r0.apk
2025-12-03 02:44
7.2K
symbiyosys-0.36-r0.apk
2024-10-25 20:12
38K
symengine-0.12.0-r0.apk
2024-10-25 20:12
2.9M
symlinks-1.4.3-r0.apk
2025-04-21 21:27
6.1K
symlinks-doc-1.4.3-r0.apk
2025-04-21 21:27
3.8K
synapse-bt-1.0-r4.apk
2024-10-25 20:12
1.1M
synapse-bt-cli-1.0-r4.apk
2024-10-25 20:12
1.0M
synapse-bt-openrc-1.0-r4.apk
2024-10-25 20:12
1.8K
syncthing-gtk-0.9.4.5-r2.apk
2024-10-25 20:12
440K
syncthing-gtk-doc-0.9.4.5-r2.apk
2024-10-25 20:12
2.2K
syncthing-gtk-pyc-0.9.4.5-r2.apk
2024-10-25 20:12
221K
syncwhen-0.3-r0.apk
2025-11-01 17:26
5.3K
syncwhen-systemd-0.3-r0.apk
2025-11-01 17:26
1.8K
t2sz-1.1.2-r0.apk
2024-10-25 20:12
9.5K
tachyon-0.99_beta6-r2.apk
2025-12-10 19:09
116K
tachyon-scenes-0.99_beta6-r2.apk
2025-12-10 19:09
1.9M
tailspin-5.5.0-r0.apk
2025-10-28 06:57
1.1M
tailspin-bash-completion-5.5.0-r0.apk
2025-10-28 06:57
2.2K
tailspin-doc-5.5.0-r0.apk
2025-10-28 06:57
3.0K
tailspin-fish-completion-5.5.0-r0.apk
2025-10-28 06:57
2.1K
tailspin-zsh-completion-5.5.0-r0.apk
2025-10-28 06:57
2.5K
tang-15-r0.apk
2025-01-20 04:17
16K
tang-dbg-15-r0.apk
2025-01-20 04:17
31K
tang-doc-15-r0.apk
2025-01-20 04:17
21K
tang-openrc-15-r0.apk
2025-01-20 04:17
1.9K
tangctl-0_git20241007-r10.apk
2026-01-19 14:36
2.8M
tanidvr-1.4.1-r2.apk
2025-03-21 11:52
23K
tanidvr-dhav2mkv-1.4.1-r2.apk
2025-03-21 11:52
12K
tanka-0.35.0-r2.apk
2026-01-19 14:36
7.3M
tartube-2.5.0-r2.apk
2025-12-09 12:13
2.7M
tartube-pyc-2.5.0-r2.apk
2025-12-09 12:13
1.1M
taskcafe-0.3.6-r19.apk
2026-01-19 14:36
14M
taskcafe-openrc-0.3.6-r19.apk
2026-01-19 14:36
1.8K
taskwarrior-tui-0.26.3-r0.apk
2025-03-26 10:24
1.2M
taskwarrior-tui-bash-completion-0.26.3-r0.apk
2025-03-26 10:24
2.0K
taskwarrior-tui-doc-0.26.3-r0.apk
2025-03-26 10:24
3.9K
taskwarrior-tui-fish-completion-0.26.3-r0.apk
2025-03-26 10:24
1.7K
tauri-cli-2.4.0-r0.apk
2025-04-01 21:58
7.5M
tayga-0.9.6-r0.apk
2026-01-04 14:16
28K
tayga-doc-0.9.6-r0.apk
2026-01-04 14:16
6.0K
tcl-curl-7.22.0-r0.apk
2024-10-25 20:12
32K
tcl-curl-doc-7.22.0-r0.apk
2024-10-25 20:12
38K
tcpbench-3.00-r1.apk
2025-09-12 22:22
13K
tcpbench-doc-3.00-r1.apk
2025-09-12 22:22
5.3K
tdrop-0.5.0-r0.apk
2024-10-25 20:12
12K
tdrop-doc-0.5.0-r0.apk
2024-10-25 20:12
8.9K
tealdeer-1.8.0-r0.apk
2025-10-28 02:10
833K
tealdeer-bash-completion-1.8.0-r0.apk
2025-10-28 02:10
2.0K
tealdeer-fish-completion-1.8.0-r0.apk
2025-10-28 02:10
2.3K
tealdeer-zsh-completion-1.8.0-r0.apk
2025-10-28 02:10
2.4K
telegram-bot-api-9.3-r0.apk
2026-01-19 14:36
8.1M
telegram-tdlib-1.8.60-r0.apk
2026-01-19 14:36
8.3M
telegram-tdlib-dev-1.8.60-r0.apk
2026-01-19 14:36
204K
telegram-tdlib-static-1.8.60-r0.apk
2026-01-19 14:36
22M
templ-0.3.960-r2.apk
2026-01-19 14:36
5.6M
tenv-4.9.0-r2.apk
2026-01-19 14:36
10M
tenv-bash-completion-4.9.0-r2.apk
2026-01-19 14:36
6.1K
tenv-fish-completion-4.9.0-r2.apk
2026-01-19 14:36
4.3K
tenv-zsh-completion-4.9.0-r2.apk
2026-01-19 14:36
4.0K
tere-1.6.0-r0.apk
2024-10-25 20:12
1.0M
tere-doc-1.6.0-r0.apk
2024-10-25 20:12
14K
termbox-1.1.2-r1.apk
2024-10-25 20:12
11K
termbox-dev-1.1.2-r1.apk
2024-10-25 20:12
5.7K
termbox-static-1.1.2-r1.apk
2024-10-25 20:12
12K
termcolor-2.1.0-r0.apk
2024-10-25 20:12
1.5K
termcolor-dev-2.1.0-r0.apk
2024-10-25 20:12
6.8K
terminology-1.14.0-r0.apk
2025-05-27 22:49
2.7M
terminology-doc-1.14.0-r0.apk
2025-05-27 22:49
9.0K
terminology-lang-1.14.0-r0.apk
2025-05-27 22:49
143K
termusic-mpv-0.12.0-r0.apk
2025-10-13 01:34
9.7M
texlab-5.25.0-r0.apk
2025-12-31 18:36
8.7M
tfupdate-0.8.2-r12.apk
2026-01-19 14:36
5.1M
tfupdate-doc-0.8.2-r12.apk
2026-01-19 14:36
2.3K
theforceengine-1.09.540-r2.apk
2026-01-09 20:23
7.0M
theforceengine-doc-1.09.540-r2.apk
2026-01-09 20:23
6.3M
thefuck-3.32-r5.apk
2024-10-25 20:12
83K
thefuck-pyc-3.32-r5.apk
2024-10-25 20:12
156K
thelounge-4.4.3-r0.apk
2024-10-25 20:12
28M
thelounge-doc-4.4.3-r0.apk
2024-10-25 20:12
2.3K
thelounge-openrc-4.4.3-r0.apk
2024-10-25 20:12
2.0K
theme.sh-1.1.5-r0.apk
2024-10-25 20:12
39K
theme.sh-doc-1.1.5-r0.apk
2024-10-25 20:12
2.3K
thermald-2.15.10-r0.apk
2025-10-23 08:12
182K
thermald-doc-2.15.10-r0.apk
2025-10-23 08:12
8.7K
thermald-openrc-2.15.10-r0.apk
2025-10-23 08:12
1.8K
throttled-0.10.0-r1.apk
2024-12-15 19:26
15K
throttled-openrc-0.10.0-r1.apk
2024-12-15 19:26
1.6K
throttled-pyc-0.10.0-r1.apk
2024-12-15 19:26
28K
thumbdrives-0.3.2-r2.apk
2024-10-25 20:12
11K
thunar-gtkhash-plugin-1.5-r0.apk
2024-10-25 20:12
26K
thunarx-python-0.5.2-r2.apk
2024-10-25 20:12
10K
thunarx-python-doc-0.5.2-r2.apk
2024-10-25 20:12
25K
tick-1.2.3-r0.apk
2025-10-06 06:06
11K
tick-doc-1.2.3-r0.apk
2025-10-06 06:06
5.5K
ticker-5.0.7-r8.apk
2026-01-19 14:36
3.7M
ticker-bash-completion-5.0.7-r8.apk
2026-01-19 14:36
6.1K
ticker-fish-completion-5.0.7-r8.apk
2026-01-19 14:36
4.3K
ticker-zsh-completion-5.0.7-r8.apk
2026-01-19 14:36
4.1K
timeshift-25.12.4-r0.apk
2026-01-08 21:44
473K
timeshift-doc-25.12.4-r0.apk
2026-01-08 21:44
3.2K
timeshift-lang-25.12.4-r0.apk
2026-01-08 21:44
1.0M
timew-1.4.3-r1.apk
2024-10-25 20:12
294K
timew-bash-completion-1.4.3-r1.apk
2024-10-25 20:12
2.8K
timew-doc-1.4.3-r1.apk
2024-10-25 20:12
53K
timewarrior-1.7.1-r0.apk
2024-10-25 20:12
290K
timewarrior-doc-1.7.1-r0.apk
2024-10-25 20:12
22K
timoni-0.23.0-r11.apk
2026-01-19 14:36
25M
timoni-bash-completion-0.23.0-r11.apk
2026-01-19 14:36
8.0K
timoni-doc-0.23.0-r11.apk
2026-01-19 14:36
338K
timoni-fish-completion-0.23.0-r11.apk
2026-01-19 14:36
4.3K
timoni-zsh-completion-0.23.0-r11.apk
2026-01-19 14:36
4.0K
tintin-2.02.51-r0.apk
2025-11-24 12:41
1.8M
tinyemu-2019.12.21-r0.apk
2025-05-25 23:35
140K
tinygltf-2.9.7-r0.apk
2025-11-02 20:51
156K
tinygltf-dev-2.9.7-r0.apk
2025-11-02 20:51
57K
tinyscheme-1.42-r1.apk
2024-10-25 20:12
63K
tiptop-2.3.1-r2.apk
2024-10-25 20:12
34K
tiptop-doc-2.3.1-r2.apk
2024-10-25 20:12
7.1K
tldr-python-client-3.3.0-r0.apk
2024-12-01 17:09
12K
tldr-python-client-doc-3.3.0-r0.apk
2024-12-01 17:09
3.5K
tldr-python-client-pyc-3.3.0-r0.apk
2024-12-01 17:09
14K
tmate-2.4.0-r4.apk
2024-10-25 20:12
296K
tmate-doc-2.4.0-r4.apk
2024-10-25 20:12
72K
tmpl-0.4.0-r17.apk
2026-01-19 14:36
2.9M
tmpl-doc-0.4.0-r17.apk
2026-01-19 14:36
2.3K
tmpmail-1.2.3-r2.apk
2024-10-25 20:12
7.0K
tmpmail-doc-1.2.3-r2.apk
2024-10-25 20:12
3.2K
tmux-resurrect-4.0.0-r0.apk
2024-10-25 20:12
14K
tmux-resurrect-doc-4.0.0-r0.apk
2024-10-25 20:12
8.4K
tncattach-0.1.9-r1.apk
2024-10-25 20:12
23K
tncattach-doc-0.1.9-r1.apk
2024-10-25 20:12
3.9K
tnef-1.4.18-r0.apk
2024-10-25 20:12
25K
tnef-doc-1.4.18-r0.apk
2024-10-25 20:12
4.2K
toapk-1.0-r0.apk
2024-10-25 20:12
11K
today-6.2.1-r0.apk
2025-06-17 15:29
3.2K
today-doc-6.2.1-r0.apk
2025-06-17 15:29
3.3K
tofu-ls-0.2.0-r2.apk
2026-01-19 14:36
6.2M
tofu-ls-doc-0.2.0-r2.apk
2026-01-19 14:36
35K
toml2json-1.3.2-r0.apk
2025-09-01 13:13
367K
toml2json-doc-1.3.2-r0.apk
2025-09-01 13:13
3.7K
topgit-0.19.13-r1.apk
2024-10-25 20:12
127K
topgit-bash-completion-0.19.13-r1.apk
2024-10-25 20:12
4.0K
topgit-doc-0.19.13-r1.apk
2024-10-25 20:12
73K
torrent-file-editor-0.3.18-r0.apk
2024-10-25 20:12
376K
toss-1.1-r1.apk
2025-05-29 12:07
11K
touchpad-emulator-0.3-r0.apk
2025-05-26 09:33
13K
toybox-0.8.13-r0.apk
2025-10-16 10:30
255K
tpm2-pkcs11-1.9.2-r0.apk
2025-12-13 16:24
128K
tpm2-pkcs11-dev-1.9.2-r0.apk
2025-12-13 16:24
1.9K
tpm2-pkcs11-pyc-1.9.2-r0.apk
2025-12-13 16:24
70K
tqm-1.18.0-r1.apk
2026-01-19 14:36
4.9M
trace-cmd-3.3.1-r1.apk
2025-01-19 11:55
173K
trace-cmd-bash-completion-3.3.1-r1.apk
2025-01-19 11:55
3.3K
trace-cmd-dbg-3.3.1-r1.apk
2025-01-19 11:55
473K
trace-cmd-doc-3.3.1-r1.apk
2025-01-19 11:55
171K
transito-0.10.0-r1.apk
2026-01-19 14:36
8.8M
transito-doc-0.10.0-r1.apk
2026-01-19 14:36
756K
transmission-remote-gtk-1.6.0-r0.apk
2024-10-25 20:12
151K
transmission-remote-gtk-doc-1.6.0-r0.apk
2024-10-25 20:12
4.2K
transmission-remote-gtk-lang-1.6.0-r0.apk
2024-10-25 20:12
106K
trantor-1.5.18-r0.apk
2024-10-25 20:12
252K
trantor-dev-1.5.18-r0.apk
2024-10-25 20:12
34K
trantor-doc-1.5.18-r0.apk
2024-10-25 20:12
2.6K
tre-0.8.0-r2.apk
2024-10-25 20:12
27K
tre-dev-0.8.0-r2.apk
2024-10-25 20:12
5.2K
tre-static-0.8.0-r2.apk
2024-10-25 20:12
28K
tree-sitter-caddy-0_git20230322-r0.apk
2024-10-25 20:12
70K
tree-sitter-caddy-doc-0_git20230322-r0.apk
2024-10-25 20:12
2.3K
tree-sitter-clojure-0.0.13-r0.apk
2025-07-23 11:59
23K
tree-sitter-dart-0_git20250228-r0.apk
2025-03-10 22:57
99K
tree-sitter-git-commit-0_git20211225-r4.apk
2025-07-25 12:55
13K
tree-sitter-git-diff-0_git20230730-r1.apk
2025-07-25 12:56
9.9K
tree-sitter-git-rebase-0_git20240722-r0.apk
2025-03-10 22:57
5.2K
tree-sitter-gleam-1.1.0-r0.apk
2025-10-08 23:22
64K
tree-sitter-hare-0_git20230616-r2.apk
2025-07-22 20:24
33K
tree-sitter-haskell-0.23.1-r0.apk
2025-01-09 15:52
284K
tree-sitter-hcl-1.2.0-r0.apk
2025-06-19 00:25
22K
tree-sitter-just-0_git20230318-r0.apk
2024-10-25 20:12
14K
tree-sitter-make-1.1.1-r0.apk
2026-01-03 20:55
37K
tree-sitter-pascal-0.9.1-r0.apk
2024-10-25 20:12
81K
tree-sitter-pascal-doc-0.9.1-r0.apk
2024-10-25 20:12
2.3K
tree-sitter-typst-0.11.0-r1.apk
2026-01-04 16:20
107K
tremc-0.9.4-r0.apk
2025-07-16 04:10
53K
tremc-bash-completion-0.9.4-r0.apk
2025-07-16 04:10
1.9K
tremc-doc-0.9.4-r0.apk
2025-07-16 04:10
2.8K
tremc-zsh-completion-0.9.4-r0.apk
2025-07-16 04:10
1.8K
trigger-rally-0.6.7-r3.apk
2025-03-25 15:24
315K
trigger-rally-data-0.6.7-r3.apk
2025-03-25 15:24
352M
trigger-rally-doc-0.6.7-r3.apk
2025-03-25 15:24
28K
trippy-0.13.0-r0.apk
2025-05-18 23:22
2.1M
trippy-bash-completion-0.13.0-r0.apk
2025-05-18 23:22
3.2K
trippy-zsh-completion-0.13.0-r0.apk
2025-05-18 23:22
4.8K
trivy-0.68.2-r1.apk
2026-01-19 14:36
70M
tsung-1.8.0-r3.apk
2025-06-13 06:05
722K
ttfautohint-1.8.4-r0.apk
2024-10-25 20:13
28K
ttfautohint-dev-1.8.4-r0.apk
2024-10-25 20:13
153K
ttfautohint-doc-1.8.4-r0.apk
2024-10-25 20:13
8.1K
ttfautohint-gui-1.8.4-r0.apk
2024-10-25 20:13
61K
ttfautohint-libs-1.8.4-r0.apk
2024-10-25 20:13
103K
tty-clock-2.3_git20240104-r0.apk
2024-10-25 20:13
8.4K
tty-clock-doc-2.3_git20240104-r0.apk
2024-10-25 20:13
3.1K
tty-proxy-0.0.2-r34.apk
2026-01-19 14:36
2.8M
tty-share-2.4.0-r24.apk
2026-01-19 14:36
4.0M
ttynvt-0.17-r0.apk
2025-08-22 12:50
15K
ttyper-1.6.0-r0.apk
2025-02-05 21:47
610K
tui-journal-0.10.0-r0.apk
2024-10-25 20:13
1.9M
tui-journal-doc-0.10.0-r0.apk
2024-10-25 20:13
6.9K
tuios-0.6.0-r0.apk
2026-01-26 13:42
4.1M
tuios-doc-0.6.0-r0.apk
2026-01-26 13:42
7.7K
tup-0.7.11-r1.apk
2025-06-19 08:56
237K
tup-doc-0.7.11-r1.apk
2025-06-19 08:56
21K
tup-vim-0.7.11-r1.apk
2025-06-19 08:56
2.5K
tuptime-5.2.4-r2.apk
2025-09-06 20:30
14K
tuptime-doc-5.2.4-r2.apk
2025-09-06 20:30
3.8K
tuptime-openrc-5.2.4-r2.apk
2025-09-06 20:30
1.7K
turn-rs-3.4.0-r1.apk
2025-06-12 14:06
594K
turn-rs-doc-3.4.0-r1.apk
2025-06-12 14:06
11K
turn-rs-openrc-3.4.0-r1.apk
2025-06-12 14:06
2.0K
turnstile-0.1.10-r3.apk
2024-10-25 20:13
39K
turnstile-doc-0.1.10-r3.apk
2024-10-25 20:13
5.7K
turnstile-openrc-0.1.10-r3.apk
2024-10-25 20:13
1.8K
turntable-0.5.1-r0.apk
2026-01-06 13:45
252K
turntable-lang-0.5.1-r0.apk
2026-01-06 13:45
27K
twemproxy-0.5.0-r0.apk
2024-10-25 20:13
71K
twemproxy-doc-0.5.0-r0.apk
2024-10-25 20:13
17K
twiggy-0.6.0-r3.apk
2024-10-25 20:13
792K
twinkle-1.10.3-r3.apk
2025-01-04 21:55
2.6M
twinkle-doc-1.10.3-r3.apk
2025-01-04 21:55
3.5K
ty-0.0.4-r0.apk
2025-12-21 18:52
6.5M
ty-bash-completion-0.0.4-r0.apk
2025-12-21 18:52
2.6K
ty-fish-completion-0.0.4-r0.apk
2025-12-21 18:52
3.2K
ty-pyc-0.0.4-r0.apk
2025-12-21 18:52
3.9K
ty-zsh-completion-0.0.4-r0.apk
2025-12-21 18:52
3.5K
typobuster-1.0.0-r0.apk
2025-04-23 22:52
129K
typstyle-0.12.14-r0.apk
2025-01-12 13:44
529K
u1db-qt-0.1.8-r0.apk
2024-10-25 20:13
105K
uasm-2.56.2-r0.apk
2024-10-25 20:13
273K
ubase-20200605-r3.apk
2024-10-25 20:13
46K
ubase-doc-20200605-r3.apk
2024-10-25 20:13
21K
ubuntu-archive-keyring-2023.11.28.1-r0.apk
2024-10-25 20:13
16K
Ubuntu Linux
ubuntu-archive-keyring-doc-2023.11.28.1-r0.apk
2024-10-25 20:13
2.3K
Ubuntu Linux
ubus-2025.10.17-r0.apk
2025-10-25 12:57
34K
ubus-dev-2025.10.17-r0.apk
2025-10-25 12:57
5.6K
uclient-20251003-r0.apk
2026-01-05 19:39
18K
uclient-dev-20251003-r0.apk
2026-01-05 19:39
3.5K
uclient-fetch-20251003-r0.apk
2026-01-05 19:39
11K
udpt-3.1.2-r0.apk
2024-10-25 20:13
716K
udpt-openrc-3.1.2-r0.apk
2024-10-25 20:13
1.8K
ueberzug-18.3.1-r0.apk
2025-02-22 11:09
65K
ueberzug-pyc-18.3.1-r0.apk
2025-02-22 11:09
64K
ufw-docker-251123-r0.apk
2026-01-04 00:59
9.6K
ufw-docker-doc-251123-r0.apk
2026-01-04 00:59
14K
undock-0.10.0-r6.apk
2026-01-19 14:36
11M
unicorn-2.1.4-r0.apk
2025-10-14 14:04
8.9M
unicorn-dev-2.1.4-r0.apk
2025-10-14 14:04
10M
unit-php81-1.35.0-r1.apk
2025-09-15 15:02
36K
unit-php82-1.35.0-r0.apk
2025-10-24 02:17
36K
up-0.4-r35.apk
2026-01-19 14:36
1.3M
update-sysfs-2.1.1_p7-r0.apk
2025-11-12 10:44
3.1K
upterm-0.17.0-r2.apk
2026-01-19 14:36
7.4M
upterm-bash-completion-0.17.0-r2.apk
2026-01-19 14:36
5.6K
upterm-doc-0.17.0-r2.apk
2026-01-19 14:36
7.1K
upterm-server-0.17.0-r2.apk
2026-01-19 14:36
7.0M
upterm-server-openrc-0.17.0-r2.apk
2026-01-19 14:36
2.0K
upterm-zsh-completion-0.17.0-r2.apk
2026-01-19 14:36
4.0K
uranium-5.2.2-r3.apk
2024-10-25 20:13
596K
urlwatch-2.28-r2.apk
2024-10-25 20:13
49K
urlwatch-doc-2.28-r2.apk
2024-10-25 20:13
33K
urlwatch-pyc-2.28-r2.apk
2024-10-25 20:13
101K
usb-moded-notify-0.3.0-r0.apk
2025-12-28 18:16
3.1K
usb-moded-notify-systemd-0.3.0-r0.apk
2025-12-28 18:16
1.8K
usbtop-1.0-r0.apk
2025-04-12 12:26
14K
usql-0.19.26-r2.apk
2026-01-19 14:36
46M
ustr-1.0.4-r1.apk
2024-10-25 20:13
54K
ustr-debug-1.0.4-r1.apk
2024-10-25 20:13
77K
ustr-dev-1.0.4-r1.apk
2024-10-25 20:13
91K
ustr-doc-1.0.4-r1.apk
2024-10-25 20:13
97K
ustr-static-1.0.4-r1.apk
2024-10-25 20:13
147K
uucp-1.07-r6.apk
2024-10-25 20:13
479K
uucp-doc-1.07-r6.apk
2024-10-25 20:13
118K
uxn-1.0-r0.apk
2024-10-25 20:13
43K
uxn-doc-1.0-r0.apk
2024-10-25 20:13
4.2K
valent-1.0.0_alpha49-r0.apk
2025-12-24 18:31
354K
valent-dev-1.0.0_alpha49-r0.apk
2025-12-24 18:31
94K
valent-lang-1.0.0_alpha49-r0.apk
2025-12-24 18:31
39K
valent-libs-1.0.0_alpha49-r0.apk
2025-12-24 18:31
138K
vali-0.1.1-r0.apk
2026-01-25 18:56
31K
vali-dev-0.1.1-r0.apk
2026-01-25 18:56
4.3K
vals-0.42.4-r2.apk
2026-01-19 14:36
39M
varnish-modules-0.26.0-r0.apk
2025-07-06 18:48
40K
varnish-modules-doc-0.26.0-r0.apk
2025-07-06 18:48
22K
vcdimager-2.0.1-r5.apk
2025-01-22 10:33
477K
vcdimager-dev-2.0.1-r5.apk
2025-01-22 10:33
120K
vcdimager-doc-2.0.1-r5.apk
2025-01-22 10:33
74K
vcsh-2.0.5-r0.apk
2024-10-25 20:13
8.8K
vcsh-bash-completion-2.0.5-r0.apk
2024-10-25 20:13
2.9K
vcsh-doc-2.0.5-r0.apk
2024-10-25 20:13
27K
vcsh-zsh-completion-2.0.5-r0.apk
2024-10-25 20:13
2.9K
vcstool-0.3.0-r5.apk
2024-10-25 20:13
35K
vcstool-bash-completion-0.3.0-r5.apk
2024-10-25 20:13
1.8K
vcstool-pyc-0.3.0-r5.apk
2024-10-25 20:13
58K
vcstool-tcsh-completion-0.3.0-r5.apk
2024-10-25 20:13
1.6K
vcstool-zsh-completion-0.3.0-r5.apk
2024-10-25 20:13
1.7K
vectoroids-1.1.0-r2.apk
2024-10-25 20:13
281K
vectoroids-doc-1.1.0-r2.apk
2024-10-25 20:13
2.3K
vera++-1.3.0-r11.apk
2025-10-12 14:25
199K
vfd-configurations-0_git20230612-r0.apk
2024-10-25 20:13
25K
vice-3.9-r3.apk
2026-01-09 20:23
13M
vice-doc-3.9-r3.apk
2026-01-09 20:23
2.2M
vidcutter-6.0.5.3-r0.apk
2024-10-25 20:13
2.8M
vidcutter-doc-6.0.5.3-r0.apk
2024-10-25 20:13
24K
vidcutter-pyc-6.0.5.3-r0.apk
2024-10-25 20:13
1.9M
video-trimmer-25.03-r0.apk
2025-07-01 19:19
388K
video-trimmer-lang-25.03-r0.apk
2025-07-01 19:19
93K
viewnior-1.8-r1.apk
2024-10-25 20:13
75K
viewnior-doc-1.8-r1.apk
2024-10-25 20:13
2.1K
viewnior-lang-1.8-r1.apk
2024-10-25 20:13
85K
vile-9.8z_p1-r2.apk
2025-10-06 10:56
767K
vile-common-9.8z_p1-r2.apk
2025-10-06 10:56
355K
vile-doc-9.8z_p1-r2.apk
2025-10-06 10:56
357K
vim-airline-0.11-r0.apk
2024-10-25 20:13
86K
vim-airline-doc-0.11-r0.apk
2024-10-25 20:13
12K
vim-rust-305-r1.apk
2025-08-21 07:59
20K
vimv-3.1.0-r0.apk
2025-10-12 21:19
266K
vimv-doc-3.1.0-r0.apk
2025-10-12 21:19
2.0K
virtctl-1.6.2-r2.apk
2026-01-19 14:36
16M
virtctl-bash-completion-1.6.2-r2.apk
2026-01-19 14:36
5.1K
virtctl-fish-completion-1.6.2-r2.apk
2026-01-19 14:36
4.3K
virtctl-zsh-completion-1.6.2-r2.apk
2026-01-19 14:36
4.0K
virter-0.29.0-r6.apk
2026-01-19 14:36
6.0M
virter-bash-completion-0.29.0-r6.apk
2026-01-19 14:36
6.1K
virter-doc-0.29.0-r6.apk
2026-01-19 14:36
15K
virter-fish-completion-0.29.0-r6.apk
2026-01-19 14:36
4.3K
virter-zsh-completion-0.29.0-r6.apk
2026-01-19 14:36
4.1K
virtualgl-3.1.4-r0.apk
2025-10-08 23:52
1.9M
virtualgl-dev-3.1.4-r0.apk
2025-10-08 23:52
6.1K
virtualgl-doc-3.1.4-r0.apk
2025-10-08 23:52
315K
visidata-3.3-r0.apk
2025-09-15 13:56
425K
visidata-doc-3.3-r0.apk
2025-09-15 13:56
18K
visidata-pyc-3.3-r0.apk
2025-09-15 13:56
846K
visidata-zsh-completion-3.3-r0.apk
2025-09-15 13:56
9.4K
vit-2.3.2-r1.apk
2024-10-25 20:13
80K
vit-pyc-2.3.2-r1.apk
2024-10-25 20:13
151K
vkbasalt-0.3.2.10-r0.apk
2024-10-25 20:13
408K
vkbasalt-doc-0.3.2.10-r0.apk
2024-10-25 20:13
3.1K
vmlinux.h-6.18-r0.apk
2025-12-16 22:34
248K
vmtouch-1.3.1-r0.apk
2024-10-25 20:13
14K
vmtouch-doc-1.3.1-r0.apk
2024-10-25 20:13
8.0K
voikko-fi-2.5-r0.apk
2024-10-25 20:13
1.6M
volatility3-2.26.2-r0.apk
2025-11-20 12:56
1.0M
volatility3-pyc-2.26.2-r0.apk
2025-11-20 12:56
1.3M
volumeicon-0.5.1-r1.apk
2024-10-25 20:13
45K
volumeicon-lang-0.5.1-r1.apk
2024-10-25 20:13
3.8K
vym-2.9.26-r0.apk
2024-10-25 20:13
2.9M
vym-doc-2.9.26-r0.apk
2024-10-25 20:13
3.4M
w_scan2-1.0.17-r0.apk
2025-06-11 18:25
132K
w_scan2-doc-1.0.17-r0.apk
2025-06-11 18:25
4.2K
wabt-1.0.37-r0.apk
2025-04-06 21:38
4.6M
wabt-doc-1.0.37-r0.apk
2025-04-06 21:38
13K
waifu2x-converter-cpp-5.3.4-r9.apk
2025-07-07 07:25
12M
wakeonlan-0.42-r0.apk
2024-10-25 20:13
4.5K
wakeonlan-doc-0.42-r0.apk
2024-10-25 20:13
7.5K
walk-1.13.0-r9.apk
2026-01-19 14:36
3.2M
walk-doc-1.13.0-r9.apk
2026-01-19 14:36
2.2K
walk-sor-0_git20190920-r1.apk
2024-10-25 20:13
5.6K
walk-sor-doc-0_git20190920-r1.apk
2024-10-25 20:13
7.8K
warp-s3-1.4.0-r0.apk
2026-01-21 22:29
8.5M
warpinator-2.0.3-r0.apk
2026-01-19 14:36
225K
warpinator-lang-2.0.3-r0.apk
2026-01-19 14:36
273K
warpinator-nemo-2.0.3-r0.apk
2026-01-19 14:36
4.6K
wasmtime-39.0.1-r0.apk
2025-12-08 21:06
9.0M
wasmtime-dev-39.0.1-r0.apk
2025-12-08 21:06
114K
watchbind-0.2.1-r1.apk
2024-10-25 20:13
1.1M
watchbind-doc-0.2.1-r1.apk
2024-10-25 20:13
6.6K
watchdog-5.16-r2.apk
2024-10-25 20:13
41K
watchdog-doc-5.16-r2.apk
2024-10-25 20:13
14K
watershot-0.2.0-r0.apk
2024-10-25 20:13
1.7M
way-displays-1.15.0-r0.apk
2025-09-30 09:47
118K
way-displays-doc-1.15.0-r0.apk
2025-09-30 09:47
4.5K
way-secure-0.2.0-r0.apk
2025-04-18 22:10
208K
way-secure-doc-0.2.0-r0.apk
2025-04-18 22:10
3.0K
wayfarer-1.4.0-r0.apk
2025-11-05 18:52
66K
wayfire-0.9.0-r0.apk
2025-02-27 20:18
2.7M
wayfire-dev-0.9.0-r0.apk
2025-02-27 20:18
130K
wayfire-doc-0.9.0-r0.apk
2025-02-27 20:18
3.6K
wayfire-plugins-extra-0.9.0-r0.apk
2025-02-27 20:18
592K
waylevel-1.0.0-r1.apk
2024-10-25 20:13
306K
waynergy-0.0.17-r1.apk
2025-09-12 22:22
46K
wayqt-0.3.0-r1.apk
2025-08-21 07:57
142K
wayqt-dev-0.3.0-r1.apk
2025-08-21 07:57
18K
wbg-1.3.0-r1.apk
2025-11-01 15:23
41K
wch-isp-0.4.1-r2.apk
2024-10-25 20:13
10K
wch-isp-doc-0.4.1-r2.apk
2024-10-25 20:13
2.7K
wch-isp-udev-rules-0.4.1-r2.apk
2024-10-25 20:13
1.6K
wcm-0.9.0-r0.apk
2025-02-27 20:18
372K
webhookd-1.20.2-r6.apk
2026-01-19 14:36
3.5M
webhookd-doc-1.20.2-r6.apk
2026-01-19 14:36
2.3K
webhookd-openrc-1.20.2-r6.apk
2026-01-19 14:36
2.2K
webtunnel-0.0.2-r7.apk
2026-01-19 14:36
3.9M
weggli-0.2.4-r1.apk
2024-10-25 20:13
824K
welle-cli-2.7-r0.apk
2025-04-07 18:03
320K
welle-io-2.7-r0.apk
2025-04-07 18:03
422K
welle-io-doc-2.7-r0.apk
2025-04-07 18:03
4.0K
wf-config-0.9.0-r0.apk
2025-02-27 20:18
120K
wf-config-dev-0.9.0-r0.apk
2025-02-27 20:18
16K
wf-shell-0.9.0-r0.apk
2025-02-27 20:18
6.1M
wf-shell-dev-0.9.0-r0.apk
2025-02-27 20:18
1.7K
wf-shell-doc-0.9.0-r0.apk
2025-02-27 20:18
3.1K
wgcf-2.2.29-r2.apk
2026-01-19 14:36
4.9M
wgcf-bash-completion-2.2.29-r2.apk
2026-01-19 14:36
6.1K
wgcf-fish-completion-2.2.29-r2.apk
2026-01-19 14:36
4.3K
wgcf-zsh-completion-2.2.29-r2.apk
2026-01-19 14:36
4.0K
whatsie-4.16.3-r0.apk
2025-01-12 13:12
15M
whatsie-doc-4.16.3-r0.apk
2025-01-12 13:12
2.2K
wifitui-0.10.0-r0.apk
2026-01-26 14:23
2.2M
wifitui-doc-0.10.0-r0.apk
2026-01-26 14:23
2.2K
wiimms-iso-tools-3.04a-r1.apk
2024-10-25 20:13
3.7M
wiimms-iso-tools-doc-3.04a-r1.apk
2024-10-25 20:13
65K
wiimms-szs-tools-2.26a-r0.apk
2024-10-25 20:13
4.9M
wiimms-szs-tools-doc-2.26a-r0.apk
2024-10-25 20:13
50K
wiki-tui-0.9.1-r0.apk
2025-11-02 18:17
2.1M
wiki-tui-doc-0.9.1-r0.apk
2025-11-02 18:17
4.5K
wine-mono-10.4.1-r0.apk
2026-01-06 13:45
81M
wine-staging-11.1-r0.apk
2026-01-25 18:44
71M
wine-staging-dev-11.1-r0.apk
2026-01-25 18:44
11M
wine-staging-doc-11.1-r0.apk
2026-01-25 18:44
43K
winetricks-20250102-r0.apk
2025-02-05 22:20
172K
winetricks-bash-completion-20250102-r0.apk
2025-02-05 22:20
8.6K
winetricks-doc-20250102-r0.apk
2025-02-05 22:20
3.7K
wiremapper-0.10.0-r0.apk
2024-10-25 20:13
22K
witchery-0.0.3-r2.apk
2024-10-25 20:13
3.2K
wk-adblock-0.0.4-r5.apk
2024-10-25 20:13
172K
wk-adblock-doc-0.0.4-r5.apk
2024-10-25 20:13
2.1K
wl-clip-persist-0.5.0-r0.apk
2025-10-26 12:29
950K
wl-clipboard-x11-5-r3.apk
2024-10-25 20:13
3.4K
wl-clipboard-x11-doc-5-r3.apk
2024-10-25 20:13
2.9K
wl-gammarelay-0.1.3-r5.apk
2026-01-19 14:36
1.7M
wl-screenrec-0.1.7-r1.apk
2025-08-27 16:18
566K
wl-screenrec-bash-completion-0.1.7-r1.apk
2025-08-27 16:18
2.4K
wl-screenrec-doc-0.1.7-r1.apk
2025-08-27 16:18
9.5K
wl-screenrec-fish-completion-0.1.7-r1.apk
2025-08-27 16:18
3.2K
wl-screenrec-zsh-completion-0.1.7-r1.apk
2025-08-27 16:18
3.7K
wlavu-0_git20201101-r1.apk
2024-10-25 20:13
12K
wlclock-1.0.1-r0.apk
2024-10-25 20:13
17K
wlclock-doc-1.0.1-r0.apk
2024-10-25 20:13
3.3K
wlr-sunclock-1.2.1-r0.apk
2025-12-01 14:08
46K
wlroots0.17-0.17.4-r3.apk
2025-08-13 03:56
389K
wlroots0.17-dbg-0.17.4-r3.apk
2025-08-13 03:56
1.3M
wlroots0.17-dev-0.17.4-r3.apk
2025-08-13 03:56
77K
wlroots0.18-0.18.3-r0.apk
2025-11-28 23:54
400K
wlroots0.18-dbg-0.18.3-r0.apk
2025-11-28 23:54
1.4M
wlroots0.18-dev-0.18.3-r0.apk
2025-11-28 23:54
83K
wlroots0.18-static-0.18.3-r0.apk
2025-11-28 23:54
8.0M
wmctrl-1.07-r1.apk
2024-10-25 20:13
14K
wmctrl-doc-1.07-r1.apk
2024-10-25 20:13
5.1K
wmi-client-1.3.16-r5.apk
2024-10-25 20:13
2.5M
wok-3.0.0-r6.apk
2024-10-25 20:13
157K
wok-doc-3.0.0-r6.apk
2024-10-25 20:13
3.7K
wok-lang-3.0.0-r6.apk
2024-10-25 20:13
16K
wok-pyc-3.0.0-r6.apk
2024-10-25 20:13
119K
wol-0.7.1-r3.apk
2024-10-25 20:13
25K
wol-doc-0.7.1-r3.apk
2024-10-25 20:13
5.5K
wol-lang-0.7.1-r3.apk
2024-10-25 20:13
8.2K
wolfssh-1.4.17-r2.apk
2026-01-03 23:40
138K
wolfssh-dev-1.4.17-r2.apk
2026-01-03 23:40
171K
wpa_actiond-1.4-r7.apk
2024-10-25 20:13
11K
wpa_actiond-openrc-1.4-r7.apk
2024-10-25 20:13
2.2K
wput-0.6.2-r4.apk
2024-10-25 20:13
45K
wput-doc-0.6.2-r4.apk
2024-10-25 20:13
8.2K
wshowkeys-1.0-r0.apk
2024-10-25 20:13
14K
wsmancli-2.8.0-r0.apk
2025-07-14 09:41
17K
wsmancli-doc-2.8.0-r0.apk
2025-07-14 09:41
3.7K
wtfutil-0.43.0-r19.apk
2026-01-19 14:36
21M
x11docker-7.6.0-r1.apk
2024-10-25 20:13
113K
x11docker-doc-7.6.0-r1.apk
2024-10-25 20:13
9.4K
xa-2.4.1-r0.apk
2025-02-25 12:36
73K
xa-doc-2.4.1-r0.apk
2025-02-25 12:36
17K
xcape-1.2-r1.apk
2025-05-14 18:17
7.0K
xcape-doc-1.2-r1.apk
2025-05-14 18:17
3.1K
xcompmgr-1.1.10-r0.apk
2025-06-28 11:28
15K
xcompmgr-doc-1.1.10-r0.apk
2025-06-28 11:28
2.6K
xdg-native-messaging-proxy-0.1.0-r0.apk
2025-11-27 14:19
23K
xdg-native-messaging-proxy-systemd-0.1.0-r0.apk
2025-11-27 14:19
1.8K
xdg-ninja-0.2.0.2-r0.apk
2024-10-25 20:13
70K
xed-3.8.4-r0.apk
2025-10-23 07:55
1.1M
xed-dev-3.8.4-r0.apk
2025-10-23 07:55
14K
xed-doc-3.8.4-r0.apk
2025-10-23 07:55
971K
xed-lang-3.8.4-r0.apk
2025-10-23 07:55
2.1M
xed-python-3.8.4-r0.apk
2025-10-23 07:55
24K
xendmail-0.4.4-r0.apk
2025-08-06 08:55
930K
xendmail-doc-0.4.4-r0.apk
2025-08-06 08:55
2.5K
xf86-video-vboxvideo-1.0.0-r1.apk
2024-10-25 20:13
17K
xf86-video-vboxvideo-doc-1.0.0-r1.apk
2024-10-25 20:13
3.2K
xfce4-hamster-plugin-1.17-r0.apk
2024-10-25 20:13
39K
xfce4-hamster-plugin-lang-1.17-r0.apk
2024-10-25 20:13
5.1K
xfce4-mixer-4.18.1-r2.apk
2024-10-25 20:13
90K
xfce4-mixer-doc-4.18.1-r2.apk
2024-10-25 20:13
2.5K
xfce4-mixer-lang-4.18.1-r2.apk
2024-10-25 20:13
59K
xfce4-panel-profiles-1.1.1-r1.apk
2025-11-24 12:53
58K
xfce4-panel-profiles-doc-1.1.1-r1.apk
2025-11-24 12:53
20K
xfce4-panel-profiles-lang-1.1.1-r1.apk
2025-11-24 12:53
53K
xfd-1.1.4-r0.apk
2024-10-25 20:13
14K
xfd-doc-1.1.4-r0.apk
2024-10-25 20:13
4.9K
xfsdump-3.2.0-r0.apk
2025-08-30 20:48
427K
xfsdump-doc-3.2.0-r0.apk
2025-08-30 20:48
42K
xgalaga-2.1.1.0-r1.apk
2024-10-25 20:13
276K
xgalaga-doc-2.1.1.0-r1.apk
2024-10-25 20:13
2.5K
xiccd-0.4.1-r0.apk
2026-01-06 14:32
15K
xiccd-doc-0.4.1-r0.apk
2026-01-06 14:32
3.5K
xkb-switch-1.8.5-r1.apk
2025-05-14 18:17
20K
xkb-switch-doc-1.8.5-r1.apk
2025-05-14 18:17
2.1K
xlhtml-0.5.1-r0.apk
2024-10-25 20:13
11K
xlhtml-doc-0.5.1-r0.apk
2024-10-25 20:13
2.5K
xload-1.1.4-r0.apk
2024-10-25 20:13
6.8K
xload-doc-1.1.4-r0.apk
2024-10-25 20:13
3.2K
xmag-1.0.8-r0.apk
2024-10-25 20:13
17K
xmag-doc-1.0.8-r0.apk
2024-10-25 20:13
4.7K
xml2rfc-3.28.1-r3.apk
2025-12-18 11:06
352K
xml2rfc-pyc-3.28.1-r3.apk
2025-12-18 11:06
408K
xmoto-0.6.3-r0.apk
2025-10-03 17:02
2.1M
xmoto-data-0.6.3-r0.apk
2025-10-03 17:02
37M
xmoto-doc-0.6.3-r0.apk
2025-10-03 17:02
5.9K
xmoto-lang-0.6.3-r0.apk
2025-10-03 17:02
532K
xmp-4.2.0-r0.apk
2024-10-25 20:13
22K
xmp-doc-4.2.0-r0.apk
2024-10-25 20:13
5.3K
xmpp-dns-0.2.4-r30.apk
2026-01-19 14:36
2.1M
xmppipe-0.16.0-r1.apk
2024-10-25 20:13
16K
xone-src-0.5.2-r0.apk
2026-01-19 15:49
58K
xonsh-0.19.9-r0.apk
2025-10-31 14:11
589K
xonsh-pyc-0.19.9-r0.apk
2025-10-31 14:11
1.0M
xosview-1.24-r0.apk
2024-10-25 20:13
144K
xosview-doc-1.24-r0.apk
2024-10-25 20:13
13K
xpar-0.7-r0.apk
2025-09-27 14:33
27K
xpar-doc-0.7-r0.apk
2025-09-27 14:33
4.3K
xsane-0.999-r2.apk
2024-10-25 20:13
1.5M
xsane-doc-0.999-r2.apk
2024-10-25 20:13
4.3K
xsane-lang-0.999-r2.apk
2024-10-25 20:13
440K
xsecurelock-1.9.0-r1.apk
2024-10-25 20:13
65K
xsecurelock-doc-1.9.0-r1.apk
2024-10-25 20:13
18K
xsoldier-1.8-r2.apk
2024-10-25 20:13
67K
xsoldier-doc-1.8-r2.apk
2024-10-25 20:13
2.6K
xtensor-0.27.0-r0.apk
2025-08-25 06:41
270K
xtl-0.8.1-r0.apk
2025-10-27 13:41
90K
xva-img-1.5-r0.apk
2024-10-25 20:13
18K
xvidtune-1.0.4-r0.apk
2024-10-25 20:13
17K
xvidtune-doc-1.0.4-r0.apk
2024-10-25 20:13
4.2K
xvile-9.8z_p1-r2.apk
2025-10-06 10:56
793K
xwayland-satellite-0.8-r0.apk
2026-01-19 14:36
1.3M
yamkix-0.14.0-r0.apk
2025-12-22 19:55
17K
yamkix-pyc-0.14.0-r0.apk
2025-12-22 19:55
20K
yaml-language-server-1.19.2-r0.apk
2025-10-08 21:53
666K
yaml-language-server-doc-1.19.2-r0.apk
2025-10-08 21:53
2.3K
yamldiff-0.3.0-r4.apk
2026-01-19 14:36
1.7M
yamldiff-doc-0.3.0-r4.apk
2026-01-19 14:36
2.2K
yarn-berry-4.9.1-r0.apk
2025-04-15 21:57
1.0M
yaru-common-25.10.3-r0.apk
2026-01-06 05:46
1.3K
yaru-gtksourceview-25.10.3-r0.apk
2026-01-06 05:46
5.0K
yaru-icon-theme-25.10.3-r0.apk
2026-01-06 05:46
35M
yaru-icon-theme-bark-25.10.3-r0.apk
2026-01-06 05:46
1.1M
yaru-icon-theme-blue-25.10.3-r0.apk
2026-01-06 05:46
1.2M
yaru-icon-theme-magenta-25.10.3-r0.apk
2026-01-06 05:46
1.1M
yaru-icon-theme-mate-25.10.3-r0.apk
2026-01-06 05:46
1.3M
yaru-icon-theme-olive-25.10.3-r0.apk
2026-01-06 05:46
1.1M
yaru-icon-theme-prussiangreen-25.10.3-r0.apk
2026-01-06 05:46
1.2M
yaru-icon-theme-purple-25.10.3-r0.apk
2026-01-06 05:46
1.2M
yaru-icon-theme-red-25.10.3-r0.apk
2026-01-06 05:46
1.2M
yaru-icon-theme-sage-25.10.3-r0.apk
2026-01-06 05:46
1.2M
yaru-icon-theme-viridian-25.10.3-r0.apk
2026-01-06 05:46
1.2M
yaru-icon-theme-wartybrown-25.10.3-r0.apk
2026-01-06 05:46
1.2M
yaru-icon-theme-yellow-25.10.3-r0.apk
2026-01-06 05:46
1.2M
yaru-schemas-25.10.3-r0.apk
2026-01-06 05:46
1.9K
yaru-shell-25.10.3-r0.apk
2026-01-06 05:46
232K
yaru-sounds-25.10.3-r0.apk
2026-01-06 05:46
1.2M
yaru-theme-25.10.3-r0.apk
2026-01-06 05:46
840K
yaru-theme-bark-25.10.3-r0.apk
2026-01-06 05:46
763K
yaru-theme-blue-25.10.3-r0.apk
2026-01-06 05:46
766K
yaru-theme-hdpi-25.10.3-r0.apk
2026-01-06 05:46
73K
yaru-theme-magenta-25.10.3-r0.apk
2026-01-06 05:46
762K
yaru-theme-mate-25.10.3-r0.apk
2026-01-06 05:46
772K
yaru-theme-olive-25.10.3-r0.apk
2026-01-06 05:46
759K
yaru-theme-prussiangreen-25.10.3-r0.apk
2026-01-06 05:46
760K
yaru-theme-purple-25.10.3-r0.apk
2026-01-06 05:46
757K
yaru-theme-red-25.10.3-r0.apk
2026-01-06 05:46
760K
yaru-theme-sage-25.10.3-r0.apk
2026-01-06 05:46
763K
yaru-theme-viridian-25.10.3-r0.apk
2026-01-06 05:46
760K
yaru-theme-wartybrown-25.10.3-r0.apk
2026-01-06 05:46
764K
yaru-theme-yellow-25.10.3-r0.apk
2026-01-06 05:46
766K
ydcv-0.7-r8.apk
2024-10-25 20:13
20K
ydcv-pyc-0.7-r8.apk
2024-10-25 20:13
11K
ydcv-zsh-completion-0.7-r8.apk
2024-10-25 20:13
2.2K
yices2-2.6.5-r0.apk
2025-03-02 23:05
2.3M
yices2-dev-2.6.5-r0.apk
2025-03-02 23:05
41K
yices2-libs-2.6.5-r0.apk
2025-03-02 23:05
880K
yoshimi-2.3.3.3-r0.apk
2025-03-13 22:33
6.3M
yoshimi-doc-2.3.3.3-r0.apk
2025-03-13 22:33
4.5M
yosys-0.57-r0.apk
2025-10-24 21:51
25M
yosys-dev-0.57-r0.apk
2025-10-24 21:51
277K
youki-0.5.7-r0.apk
2026-01-05 20:12
1.7M
youki-dbg-0.5.7-r0.apk
2026-01-05 20:12
8.0M
ytmdl-2024.08.15.1-r1.apk
2025-05-14 18:17
50K
ytmdl-bash-completion-2024.08.15.1-r1.apk
2025-05-14 18:17
2.3K
ytmdl-pyc-2024.08.15.1-r1.apk
2025-05-14 18:17
78K
ytmdl-zsh-completion-2024.08.15.1-r1.apk
2025-05-14 18:17
2.1K
yubikey-agent-0.1.6-r18.apk
2026-01-19 14:36
2.0M
z-1.12-r0.apk
2024-10-25 20:13
4.6K
z-doc-1.12-r0.apk
2024-10-25 20:13
3.9K
zafiro-icon-theme-1.3-r0.apk
2024-10-25 20:13
19M
zapzap-6.0.1.8-r0.apk
2025-04-13 23:48
109K
zapzap-pyc-6.0.1.8-r0.apk
2025-04-13 23:48
126K
zarchive-0.1.2-r2.apk
2024-10-25 20:13
17K
zarchive-dev-0.1.2-r2.apk
2024-10-25 20:13
6.8K
zarchive-libs-0.1.2-r2.apk
2024-10-25 20:13
26K
zfs-src-2.4.0-r0.apk
2025-12-19 08:50
33M
zfsbootmenu-2.3.0-r1.apk
2024-10-25 20:13
128K
zfsbootmenu-doc-2.3.0-r1.apk
2024-10-25 20:13
16K
zile-2.6.2-r1.apk
2024-10-25 20:13
120K
zile-doc-2.6.2-r1.apk
2024-10-25 20:13
16K
zita-njbridge-0.4.8-r1.apk
2024-10-25 20:13
32K
zita-njbridge-doc-0.4.8-r1.apk
2024-10-25 20:13
5.2K
zita-resampler-1.11.2-r0.apk
2025-04-14 21:16
21K
zita-resampler-dev-1.11.2-r0.apk
2025-04-14 21:16
3.3K
zita-resampler-doc-1.11.2-r0.apk
2025-04-14 21:16
4.0K
zpaq-7.15-r0.apk
2025-10-09 03:51
206K
zpaq-doc-7.15-r0.apk
2025-10-09 03:51
16K
zrepl-0.6.1-r17.apk
2026-01-19 14:36
7.1M
zrepl-bash-completion-0.6.1-r17.apk
2026-01-19 14:36
4.5K
zrepl-openrc-0.6.1-r17.apk
2026-01-19 14:36
1.7K
zrepl-zsh-completion-0.6.1-r17.apk
2026-01-19 14:36
1.9K
zsh-histdb-skim-0.8.6-r0.apk
2024-10-25 20:13
746K
zsh-manydots-magic-0_git20230607-r1.apk
2024-10-25 20:13
2.9K
zvbi-0.2.44-r0.apk
2025-03-11 20:28
170K
zvbi-doc-0.2.44-r0.apk
2025-03-11 20:28
21K
zycore-1.5.0-r1.apk
2025-07-11 04:50
22K
zycore-dev-1.5.0-r1.apk
2025-07-11 04:50
37K
zycore-doc-1.5.0-r1.apk
2025-07-11 04:50
433K
zydis-4.1.0-r0.apk
2024-10-25 20:13
205K
zydis-dev-4.1.0-r0.apk
2024-10-25 20:13
61K
zydis-doc-4.1.0-r0.apk
2024-10-25 20:13
1.6M